Dynamic Power Reduction in Modified Lifting Scheme Based DWT for Image Processing

Authors

  • Dr. C.Chandrasekhar

  • Dr. S.Narayana Reddy

Keywords:

Dynamic power dissipation, DWT, Lifting Scheme, Hierarchical design, low power design ASIC implementation

Abstract

Image compression is one of the major applications in image processing that imposes greater design challenges for VLSI design engineers in design and development of low power and high speed architectures. DWT is used in image compression for transformation of image from spatial to frequency domain. In this paper, DWT architecture based on lifting scheme is considered and dynamic power reduction is achieved with suitable modifications to the architecture and adoption of low power techniques. The interdependency of scaling and dilation coefficients is simplified to single hierarchy and thus reduces latency and increases throughput. Wallace tree multiplier and carry select adder are used in realizing 1D DWT architecture. The hierarchy in the design enables to adopt multi-stage and hierarchical clock gating technique thus reducing dynamic power. Power gating and DVFS techniques are also adopted to optimize power dissipation. The modified lifting architecture operates at a maximum frequency of 290MHz, and reduces power by more than 50%. The proposed design is implemented using 65nm TSMC low power library cells and is synthesized using Synopsys DC. The TCL scripts developed optimizes dynamic power dissipation.

How to Cite

Dr. C.Chandrasekhar, & Dr. S.Narayana Reddy. (2012). Dynamic Power Reduction in Modified Lifting Scheme Based DWT for Image Processing. Global Journals of Research in Engineering, 12(F8), 25–35. Retrieved from https://engineeringresearch.org/index.php/GJRE/article/view/640

Dynamic Power Reduction in Modified Lifting Scheme Based DWT for Image Processing

Published

2012-05-15