# INTRODUCTION n digital convergence era, Multi threshold CMOS is an important technology that provides high performance and low power operations by using both high and low threshold voltage transistors. MTCMOS technology is a very efficient technology for low power and high performance. However, MTCMOS has a serious problem that the stored data of latches and flip flops in logic blocks cannot be preserved when the power supply is turned off (sleep mode). Therefore extra circuits are provided for holding the stored data. This will effect the performance, area and the leakage current of the logic circuits in the sleep mode cannot be sufficiently suppressed. To avoid such undesirable leakage, Variable Threshold CMOS has been reported. Adaptive MTCMOS by using variable footer length is used for dynamic leakage and frequency control which was discussed in section 3. A popular low leakage circuit technique is the multi threshold Voltage CMOS (MTCMOS). MTCMOS circuits selectively connect/disconnect the low threshold voltage (low-Vt) logic gates to/from the power supply or the ground via active/cut-off high threshold voltage (high-Vt) sleep transistors. This technique is also known as "power gating". The power gating technique can be applied as either gated-ground or gated-VDD, as shown in fig 1 . MTCMOS circuits during the energy consuming mode transitions (a) Gated-ground circuit during the active-to-sleep mode transition. (b) Gated-V DD circuits during the sleep-to-active mode transition. High V t transistors are represented with a thick line in the channel region. The leakage current produced by an MTCMOS circuit is significantly reduced by turning off the high-Vt sleep transistors in the standby mode. However, the active-to-sleep-to-active mode transitions consume a significant amount of additional energy in the conventional MTCMOS circuits. The energy dissipation occurs while charging and discharging the parasitic capacitances of the virtual lines and the sleep transistors. The virtual power and ground lines have high capacitance due to the wire parasitics, the large number of transistors sharing a common sleep transistor, and the decoupling capacitors attached to these supply rails for voltage stabilization against bouncing. Furthermore, the sleep transistors are typically large in size for satisfying the performance requirement, thereby further increasing the parasitic capacitance of the virtual lines. The energy consumed during the mode transitions is, therefore, significant in the standard MTCMOS circuits. In this paper, a new charge recycling MTCMOS circuit is proposed for low energy switching between the active and idle modes of operation. This paper is organised as follows. Section 2 introduces MTCMOS design issues like the data preserving flip flop, the short circuit current due to # II. # PRELIMINARIES The principles of the MTCMOS The MTCMOS circuit technology can achieve a lower threshold voltage, and therefore higher performance as well as smaller standby leakage current. Basic circuit scheme of MTCMOS is shown in fig 2. The functional logic gates are implemented by using low V th transistors that are powered by the supply line (VDD). A VGND is connected to the real ground line (GND) through a high Vth transistor switch Q1. MTCMOS designs have two operating modes, active and sleep. In sleep modes SC goes to low, and Q1 is turned off. In this state, the leakage current flows to GND through Q1. Due to its low leakage characteristic, the leakage current from the low V th logic gates is almost completely suppressed. The high V th transistor, Q1 acts as a switch that cuts off the leakage current from logic gates in sleep mode. Hence, it can be called as current cut off switch (CCS) The MTCMOS suffer from ground bounce which will decrease the performance or mal function. In order to avoid this channel width is increased but it increases the area and leakage current. MTCMOS is a very effective scheme that uses high V th low leakage transistors to switch on and off the power supplies to low V th , high speed logic blocks. However due to the lack of data preserve ability of standard latches and flip flops, extra circuits must be provided. These will degrade the performance, power and the area. The fig 3 gives the MTCMOS data preserving complimentary pass transistor flip flop. # ADAPTIVE MTCMOS In a modern typical worst case design style, incorporating MTCMOS in to a design requires that the design still meet timing when the logic block is at the slow process corner. By incorporating process monitoring capability on the die, the strength of the footer device can be modulated to slow down dies that are not at the slow corner, representing the vast majority of parts. In doing so, the leakage power consumption of these nominal and fast dies is reduced. The key motivation behind the following two MTCMOS schemes is to enable simple methods of compensating for process variation. If the process is tilted towards the fast corner, then the applied gate voltage on the footer device is lowered. Consequently, the amount of current that the device can sink is reduced, slowing down the circuit block to its nominal delay point. Moreover, since the footer device is now only weakly on, its resistance increases which then increases the average ground bounce on the virtual ground line. This rise in the average ground bounce reduces the leakage power consumption in the steady state devices in the circuit block. When the process is tilted towards the slow corner, the footer device is fully turned on and the circuit block behaves as it would in the nominal base slower corner case. # b) Variable Width MTCMOS The Variable width MTCMOS design uses several footer devices that can be turned on or off individually as shown in fig7. and equivalent resistance is small between VGND and real GND. Both GV-MTCMOS, VWMTCMOS reduces runtime leakage via the same ground bounce mechanism. As the process tilts towards the fast corner, the block becomes leakier due to shorter channel lengths and corresponding shifts in V th . In this case, fewer footers are on, creating a greater resistance between the virtual ground and real ground lines which in turn raises the ground bounce. The rise in average ground bounce reduces the leakage of the block as described previously. # MTCMOS Design Methodologies and Charge Recycling Process Floating prevention circuit. IV. # CHARGE RECYCLING MTCMOS A new low energy MTCMOS circuit technique based on charge recycling between the virtual power and ground lines is presented in this section. The technique is shown in fig 8 . Both "gated-ground" and "gated-VDD" techniques are employed in a charge recycling MTCMOS circuit. Charge stored at the virtual ground and power lines are recycled through a high-Vt NMOS pass transistor during the mode transitions as shown in Fig. 8. The steady-state voltage difference between the virtual power and ground lines is close to VDD in both the active and the standby modes, thereby potentially producing a high sub threshold leakage current through the pass transistor. A charge recycling MTCMOS circuit operates as follows. In the active mode, the sleep transistors N1 and P1 are turned on. The pass transistor N2 is cut-off. The steady-state voltages of the virtual ground and virtual power lines are close to Vgnd and VDD, respectively. When the circuit enters the idle mode, the NMOS and PMOS sleep transistors (N1 and P1) are both cut off. The pass transistor is turned on for charge recycling. The node voltages at the beginning of the active-to-sleep mode transition are illustrated in Fig 9 . Charge is transferred from the virtual power rail to the virtual ground rail through the pass transistor. The charge recycling process continues until the voltages of the virtual rails are equalized. Ilvt-1 and Ilvt-2 are higher than the leakage currents Ihvt-1 and Ihvt-2 produced by the NMOS and PMOS sleep transistors, respectively, until the steady state virtual rail voltages are reached. After the pass transistor is cut-off, therefore, the virtual ground line continues to be charged to a higher steadystate voltage by the leakage current (Ilvt-1) produced by the low-Vt circuitry1. Alternatively, the virtual power line is discharged to a lower steady-state voltage by the leakage current (Ilvt-2) produced by the low-Vt circuitry2. Since the pass transistor transfers a significant amount of charge from the virtual power line to the virtual ground line, the energy drawn from the power supply for charging the virtual ground line to ~VDD during the active-to-sleep mode transition (E active-to-sleep ) is reduced. During the sleep-to-active mode transition, shortly before the sleep transistors are activated, the pass transistor is turned on as shown in fig 9 . The pass transistor transfers charge from the virtual ground line to the virtual power line as shown in Fig. 11. There is a continuous current path through the low-Vt circuits1, the pass transistor, and the low-Vt circuits2 as illustrated in Fig. 11. ![Journals Inc. (US)](image-2.png "") 1![Fig.1 :](image-3.png "Fig. 1 :") 2![Fig 2 : Schematic Diagram of MTCMOS](image-4.png "Fig 2 :") ![b) MTCMOS Design Issues i. Special cells for MTCMOS Design a. Complementary Flip Flop (CPFF)](image-5.png "") 34![Fig 3 : Complimentary Pass transistor Flip Flop(CPFF) CPFF operates as follows: Clock 'low': Since N1 and N2 are turned off and N3 and N4 are turned on, the static latch C1-2 holds the previous state. The new state on the complimentary inputs to the latch, data and data_b should be ready for sampling. Clock 'High': At the rising edge of the clock, N1 and N2 are turned on while N3 and N4 stay on for a short interval that is determined by the delay of the inverter chain .During this interval data and data_b are passed through N1, N3 and N2, N4 respectively, and sampled in to the latch. After the short sampling interval, N3 and N4 are turned off, and Q and Q_b are decoupled from the data input. Sleep mode: In the sleep mode SCB goes high, transistors, N3-4 are turned off so that the stored in C1-2 can be retained. At the transition from sleep mode to the active mode, SCB is set to low a little later than the power up. This delay prevents the destruction of data on the latch, C1-2 by delaying the turn on of N3-4 until the input data becomes valid. c) Floating Input Induced Short-Circuit Current Some of IPs like processors, memories may not be implemented by MTCMOS technology. These non-MTCMOS are directly powered by VDD and GND, and therefore vigilant even in the sleep mode. However, since the output nodes of all MTCMOS gates get floating as VGND gets floating in the sleep mode, the floating inputs to the vigilant IPs can cause very large short circuit current that flows from VDD to GND as shown in fig.4.](image-6.png "Fig 3 :Fig . 4 :") 6![Fig. 6 : Block diagram of VGV-MTCMOS](image-7.png "Fig. 6 :") 7![Fig 7 : Diagram of VW-MTCMOS](image-8.png "Fig 7 :") 8![Fig 8 : The schematic of a charge recycling MTCMOS circuit. A pass transistor recycles charge between the virtual ground and power lines. High Vth transistors are represented with thick line in the channel region.](image-9.png "Fig 8 :") 9![Fig 9 : The signal wave forms representing the operation of a charge recycling MTCMOS circuit during the mode transitions.](image-10.png "Fig 9 :") 10![Fig. 10 : The charge recycling MTCMOS circuit at the beginning of the active-to-sleep mode transition. The pass transistor is turned on. High-V t transistors are represented with a thick line in the channel region](image-11.png "Fig. 10 :") MTCMOS Design Methodologies and Charge Recycling Process After the charge recycling process is completed, the pass transistor is cut-off. The NMOS and PMOS sleep transistors are turned on for reactivating the circuit. The virtual ground line is discharged to a low voltage (? V gnd ) by the on-current of the high-V t NMOS sleep transistor. Alternatively, the virtual power line is charged to a high voltage (? VDD) by the active high-V t PMOS sleep transistor. Since the pass transistor transfers a significant amount of charge from the virtual ground line to the virtual power line, the energy drawn from the power supply while charging the virtual power line to ~VDD for reactivating the circuit (E sleep-to-active ) is reduced. The total energy overhead (E overhead ) due to a full cycle of mode transitions with a charge recycling MTCMOS circuit is The total energy overhead is significantly reduced with the proposed MTCMOS technique by suppressing E virtual-rails as compared to the E virtual consumed by the conventional MTCMOS circuits. V. ## CONCLUSIONS MTCMOS driven techniques such as the CPFF to preserve the data in the sleep mode, the FPC to prevent short circuit current are integrated in to the conventional design flow using the commercially available tools. Two new adaptive MTCMOS design techniques were introduced that reduce leakage and spread of delay. A new charge recycling circuit technique is presented for suppressing the energy overhead of mode transitions in MTCMOS circuits. The proposed technique employs both the "gated-ground" and the "gated-VDD" types of MTCMOS circuits. A pass transistor is utilized for charge recycling between the virtual power and ground lines at the beginning and shortly before the end of the sleep mode. * A 1V Multi-Threshold Voltage CMOS DSP with an Efficient Power Management Technique for Mobile Phone Application SMutoh ISSCC 1996 * A 0.9V 15OMHz lOmW 4mm2 2-D Discrete Cosine Transform Core Processor with Variable-Threshold Voltage Scheme TKuroda ISSCC 1996 * A 1-V high-speed MTCMOS Circuit Scheme for Power-Down Application Circuits SShigemitsu SMutoh IEEE Journal of Solid-state Circuits 1997 * Modeling and Analysis of Manufacturing Variations SRNassif Proc. CICC CICC 2001 * Multi-Voltage CMOS Circuit Design EGKursun Friedman 2006 John Wiley & Sons Ltd * Life is CMOS: Why Chase Life After? GSery SBorkar VDe Proceedings of the IEEE/ACM International Design Automation Conference the IEEE/ACM International Design Automation Conference June 2002 * A 1V Multi-Threshold Voltage CMOS DSP with an Efficient Power Management Technique for Mobile Phone Application SMutoh ISSCC 1996 * A 0.9V 150MHz 10mW 4mm2 2-D Discrete Cosine Transform Core Processor with Variable-Threshold Voltage Scheme TKuroda ISSCC 1996 * Multi-Voltage CMOS Circuit Design VKursun EGFriedman 2006 John Wiley & Sons Ltd * Life is CMOS: Why Chase Life After? GSery SBorkar VDe Proceedings of the IEEE/ACM International Design Automation Conference the IEEE/ACM International Design Automation Conference 78