# INTRODUCTION n light weighted circuits, contain fewer flip flops the problem may not arise. But the circuits with complex logic circuit with large number of flip-flops may face these types of problems. Because the complex logic circuits cause some delay to propagate the data from one flip flop to other flip flop or from one stage to other stage. In the present paper flip flops and delay logics are considered to describe the problem. Delay elements are often added to improve performance of a wavepipelined circuit by reducing the delay difference of the longest and the shortest paths [1]. Unfortunately, precise delay elements that realize the exact delay needed is difficult to obtain. Instead simple logic gates are used for delay balancing, thereby providing more feasible and accurate circuit path delay control under the Min/Max delay model. A heuristic is developed to insert a sufficient number of latches into a combinational circuit to achieve a specified clock cycle time. There are already some existing methods effectively working to improve the data quality in terms of accuracy in propagation [2][3][4] [5]. T. Feng proposed new wave pipeline design to achieve faster Clock Cycle Timing (CCT) [2] than the conventional pipeline methods. The author T Feng4drt wave pipeline can achieve at least a 48% performance enhancement on clock cycle time compared with the conventional wave pipeline. This paper presents a new design method for combinational circuits with focus on circuit speed optimization. Today's high speed circuit and advanced fabrication technology facing seviour problem from delay uncertainty an extremely important issue in circuit design. The proposed method the speed optimization achieved with better Clock cycle scheme. By this intrinsic advantage of the proposed method over conventional methods, the proposed new scheme can achieve a better clock cycle than conventional methods. The percentage of propagation error can be bringing to minimum level which is almost zero with the enhanced technology. In the conventional pipeline system it is facing problems due to improper synchronization of clock pulses. This is a universal problem in all the digital systems mostly called clock skew. The system clocking must be such that the output data is clocked after the latest data has arrived at the outputs and before the earliest data from the next clock cycle arrives at the outputs. In the present work a new system is proposed in the path of the clock to remove or reduce the clock skew. There are already few methods effectively working on clock skew such as wavepipelining [5][6] and Me-synchronous pipeline [3] methods. The equalization of path delays comes as a new challenge for the design of wave-pipelined systems. Different clock signal paths can have different delays for a variety of reasons [7]. Differences in delays of any active buffers within the clock distribution network may cause un-synchronization of data and clock in double buffer method and wave pipeline method. And it is difficult to identify exact delay value without which the pipe line cannot perform 100% propagation. # II. # ENHANCED METHOD In the present method simple logic gates are used in clock path to achieve higher data rates and accurate data propagation. In the present circuit the clock pulse applied to the next flip flop only when the first flip flop is ready to transmit the data wave to the next flip flop. In the present work an 8bit four stage circuit is built to test the data rates. In simple combinational circuits with little number of latches, the data propagation path is almost equal to the clock propagation path as shown in figure1. The output will follow 100% with the input. But when the stages increase in the combinational circuit with more logics and latches the propagation length of the data path will be long when compared with clock path as shown in figure2. In this crucial period it is difficult and highly impossible to get exact input data match with the output in conventional circuits. F l i p F l o p F l i p F l o p F l i p F l o p F l i p F l o p F l i p F l o p F l i p F l o p F l i p F l o p F l i p F l # CONCLUSION A new clock scheme is implemented for higher data rates. Parallel processing can be done with new clock system. High speed data can be read through the stages by simultaneous operations, fetching and processing through logic circuit. In the circuit the clock skew is almost minimized when compared to old methods. An eight bit four stage combinational circuit is designed to achieve accurate data. 1![Figure 1 : Simple Combinational Circuit.](image-2.png "Figure 1 :") 2![Figure 2 : Complex circuits having more logic.](image-3.png "Figure 2 :") 3![Figure 3 : The proposed Method with new clock Scheme.](image-4.png "Figure 3 :") 5![Figure 5 : The Simulation results of Eight bit Four stage combinational Circuit.](image-5.png "Figure 5 :") ![](image-6.png "") © 2011 Global Journals Inc. (US) November ## RESULTS The hardware is tested and simulations are verified in the software Proteus. In the figure 5 So it took one clock pulse to come input pin of the second stage. And the clock pulse clock2 arrives after one clock pulse. So the output appears after one clock pulse after the input appears at second stage. That is the output will appear at second stage after two clock pulses after the first input appear at first stage. At the same time, while the second stage processing the first data wave the first stage receives the second data wave. That means while processing the one data wave the circuit can fetch second data wave. In the same way while the third stage processing the first data and second stage processing the second data wave the first stage will try to fetch the third wave. * Delay balancing using latches Chuan-HuaChang Ann Arbor University of Michigan citeseerx.ist.psu.edu/viewdoc/down load?doi=10.1.1.76.6171 * REFERENCES RÉFÉRENCES REFERENCIAS 3. Suryanarayana B. Tatapudi, Student Member TFeng I2MTC 2008 -IEEE International Instrumentation and Measurement Technology Conference Victoria, Vancouver Island, Canada IEEE May 12-15, 2008. May 2006 53 A Mesychronous high performance digital systems * Timing constraints for wave pipelined systems C ThomasGay IEEE transactions on Computer aided design of integrated circuits 13 8 august 1994 * A high performance hybrid wave pipelined linear feedback shift register with skew tolerant clocks Jabulaninyathi 2004 IEEE * Maximum rate pipelined systems LCotten Proc. AFIPS Spring Joint Comput. Conf AFIPS Spring Joint Comput. Conf 1969 * Effect of Interrupt Logic on Delay Balancing Circuit KSuresh International Journal of Computer Applications 27 4 August 2011. 2011 US Global Journals Inc.