@incollection{, 08866F347BC9A8B560E9FF3419D47555 , author={{Ahmed BenAchballah} and {University of Carthage}}, journal={{Global Journal of Researches in Engineering}}, journal={{GJRE}}2249-45960975-586110.34257/gjre, address={Cambridge, United States}, publisher={Global Journals Organisation}1761740 } @book{b0, , title={{The fast evolving landscape of on-chip communication}} , author={{ DBertozzi } and { GDimitrakopoulos } and { JFlich } and { SSonntag }} , year={2014} , note={Design Automation for Embedded Systems} } @incollection{b1, , title={{Fault-Tolerant 3D-NoC Architecture and Design: Recent Advances and Challenges}} , author={{ LJiang } and { QXu }} , booktitle={{9th International Symposium on Networks-on-Chip}} Vancouver, BC, Canada , year={2015} } @incollection{b2, , title={{Wireless network-on-chip: a survey}} , author={{ SWang } and { TJin }} , journal={{The Journal of Engineering}} , year={2014} } @book{b3, , title={{Arteris FlexNoC Resilience Package, "www.arte ris.com/flexnoc-resilience-package-functional-safety}} } @book{b4, , title={{}} , author={{ Nock-LockSonics } and { Security }} } @book{b5, , title={{NetSpeed systems}} } @book{b6, , title={{Kalray's 2nd Generation 288-Core Processor}} } @incollection{b7, , title={{Let's route packets instead of wires}} , author={{ CLSeitz }} , booktitle={{6th MIT conference on Advanced research in VLSI}} , year={1990} } @book{b8, , title={{Principles and Practices of Interconnection Networks}} , author={{ WJDally } and { BPTowles }} , year={2003} , publisher={Morgan Kaufmann} } @incollection{b9, , title={{Route Packets, Not Wires: On-Chip Interconnection Networks}} , author={{ WJDally } and { BTowles }} , booktitle={{38th Design Automation Conference (DAC)}} , year={2001} } @book{b10, , title={{Interconnection Networks: An Engineering Approach}} , author={{ JDuato } and { SYalamanchili } and { LNi }} , year={2003} , publisher={Morgan Kaufmann} } @incollection{b11, , title={{Networks on Chips: A New SoC Paradigm}} , author={{ LBenini } and { GDe Micheli }} , journal={{Computer}} , year={2002} } @book{b12, , title={{}} , author={{ AJantsch } and { HTenhunen }} , year={2003} , publisher={Springer} } @incollection{b13, , title={{Key Research Problems in NoC Design: A Holistic Perspective}} , author={{ UYOgras } and { JHu } and { RMarculescu }} , booktitle={{IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis}} , year={2005} } @incollection{b14, , title={{Communicationbased design for nanoscale SoCs}} , author={{ UYOgras } and { RMarculescu }} , booktitle={{The VLSI Handbook, Second Edition}} , editor={ W.-KChen Ed } , publisher={CRC Press} , year={2006} } @book{b15, , title={{The VLSI Handbook}} , author={{ W.-KChen }} , year={2006} , publisher={CRC Press} , note={Second Edition} } @incollection{b16, , title={{Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures}} , author={{ PPPande } and { CGrecu } and { MJones } and { AIvanov } and { RSaleh }} , journal={{IEEE Transactions on Computers}} 54 , year={2005} } @book{b17, , author={{ GDe Micheli } and { LBenini }} , title={{Networks on Chips: Technology and Tools}} , publisher={Morgan Kaufmann} , year={2006} } @incollection{b18, , title={{A Survey of Research and Practices of Network-on-Chip}} , author={{ TBjerregaard } and { SMahadevan }} , journal={{ACM Computing Surveys}} 38 , year={2006} } @book{b19, , author={{ TKogel } and { RLeupers } and { HMeyr }} , title={{Integrated System-Level Modeling of Network-on-Chip enabled}} } @book{b20, , title={{}} , author={{ Multi-ProcessorPlatforms }} , year={2006} , publisher={Springer} , address={Netherlands} } @incollection{b21, , title={{Research Challenges for On-Chip Interconnection Networks}} , author={{ JDOwens } and { WJDally } and { RHo } and { DNJayasimha } and { SWKeckler } and { PLi-Shiuan }} , journal={{IEEE Micro}} 27 , year={2007} } @book{b22, , title={{Low-Power NoC for High-Performance SoC Design}} , author={{ H.-JYoo } and { KLee } and { JKKim }} , year={2008} , publisher={CRC Press} } @incollection{b23, , title={{On network-on-chip comparison}} , author={{ ESalminen } and { AKulmala } and { TDHâmâlâinen }} , booktitle={{10th Euromicro Conference on Digital System Design Architectures, Methods and Tools}} , year={2007} } @incollection{b24, , title={{Survey of Network-on-chip Proposals, White paper from the former Open Core Protocol International Partnership Association (OCP-IP)}} , author={{ ESalminen } and { AKulmala } and { TDHâmâlâinen }} , journal={{OCP}} , year={2008} , note={IP White paper} } @book{b25, , author={{ SPasricha } and { NDutt }} , title={{On-chip Communication Architectures: System on Chip Interconnect}} , publisher={Morgan Kaufmann} , year={2008} } @incollection{b26, , title={{Network-on-Chip design and synthesis outlook}} , author={{ DAtienza } and { FAngiolini } and { SMurali } and { APullini } and { LBenini } and { GDMicheli }} , journal={{Integration, the VLSI Journal}} , year={2008} } @book{b27, , author={{ NEJerger } and { L.-SPeh }} , title={{On-Chip Networks}} , publisher={Morgan & Claypool} , year={2009} 4 } @incollection{b28, , title={{Key Research Issues for Reconfigurable Network-on-Chip}} , author={{ RDafali } and { JPDiguet } and { MSevaux }} , booktitle={{International Conference on Reconfigurable Computing and FPGAs (ReConFig)}} , year={2008} } @book{b29, , author={{ NChrysostomos } and { NVijaykrishnan } and { CRDas }} , title={{Network-on-Chip Architectures}} , publisher={Springer} , year={2009} } @incollection{b30, , title={{Review of Packet Switching Technologies for Future NoC}} , author={{ DZydek } and { NShlayan } and { ERegentova } and { HSelvaraj }} , booktitle={{19th International Conference on Systems Engineering}} , year={2008} } @book{b31, , author={{ FGebali } and { HElmiligi } and { MWEl-Kharashi }} , title={{Networks-on-Chips: Theory and Practice}} , publisher={CRC Press} , year={2009} } @incollection{b32, , title={{Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation}} , author={{ BSFeero } and { PPPande }} , journal={{IEEE Transactions on Computers}} 58 , year={2009} } @book{b33, , title={{Designing Reliable and Efficient Networks on Chips}} , author={{ SMurali }} , year={2010} , publisher={Springer} } @incollection{b34, , title={{The Chip Is the Network: Toward a Science of Network-on-Chip Design}} , author={{ RMarculescu } and { PBogdan }} , journal={{Foundations and Trends® in Electronic Design Automation}} 2 , year={2009} } @incollection{b35, , title={{Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives}} , author={{ RMarculescu } and { UYOgras } and { L.-SPeh } and { NEJerge } and { YHoskote }} , journal={{IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}} 28 , year={2009. 2017} } @incollection{b36, , title={{}} , journal={{An Extansive Review of Emerging Technology Networks-on-Chip Proposals}} } @book{b37, , title={{Designing Network On-Chip Architectures in the Nanoscale Era}} , author={{ JFlich } and { DBertozzi }} , year={2011} , publisher={CRC Press} } @incollection{b38, , title={{Networks on Chips: from Research to Products}} , author={{ GDeMicheli } and { SSeiculescu } and { LMurali } and { FBenini } and { AAngiolini } and { Pullini }} , booktitle={{47th ACM/EDAC/IEEE Design Automation Conference (DAC)}} , year={2010} } @book{b39, , title={{}} , author={{ CSilvano } and { MLajolo } and { GPalermo }} , year={2011} , publisher={Springer US} } @incollection{b40, , title={{Comparative performance evaluation of wireless and optical NoC architectures}} , author={{ SDeb } and { KChang } and { AGanguly } and { PPPande }} , booktitle={{IEEE International SOC Conference (SOCC)}} , year={2010} } @incollection{b41, , title={{Wireless noc as interconnection backbone for multicore chips: Promises and challenges}} , author={{ SDeb } and { AGanguly } and { PPPande } and { BBelzer } and { DHeo }} , journal={{IEEE Journal on Emerging and Selected Topics in Circuits and Systems}} 2 , year={2012} } @book{b42, , author={{ PCong-Vinh }} , title={{Autonomic Networking-On-Chip: Bio-Inspired Specification, Development, and Verification}} , publisher={CRC Press} , year={2012} } @incollection{b43, , title={{Research and practices on 3D networks-on-chip architectures}} , author={{ A.-MRahmani } and { KLatif } and { PLiljeberg } and { JPlosila } and { HTenhunen }} , journal={{NORCHIP}} , year={2010} } @incollection{b44, , title={{}} , author={{ ÉCota } and { ADe Morais Amory } and { MSoares Lubaszewski }} , journal={{Reliability, Availability and Serviceability of Networks-on}} , year={2012} , publisher={Springer} } @incollection{b45, , title={{Network-on-Chip (NoC) Topologies and Performance : A Review}} , author={{ JChen } and { LCheng } and { PGillard }} , booktitle={{NECEC}} , year={2011} } @book{b46, , author={{ BFu } and { PAmpadu }} , title={{Error Control for Network-on-Chip Links}} , publisher={Springer} , year={2012} } @incollection{b47, , title={{A Review of Research on Network-on-Chip Simulator}} , author={{ HGu }} , booktitle={{Communication Systems and Information Technology}} , editor={ MMa } , publisher={Springer} , year={2011} } @book{b48, , title={{Analysis and Optimization of Network-on-Chip Communication Architectures}} , author={{ UYOgras } and { RMarculescu } and { Modeling }} , year={2013} , publisher={Springer} } @incollection{b49, , title={{Survey of NoC and Programming Models Proposals for MPSoC}} , author={{ EFernandez-Alonso } and { DCastells-Rufas } and { JJoven } and { JCarrabina }} , journal={{International Journal of Computer Science Issues}} 9 , year={2012} } @book{b50, , author={{ MPalesi } and { MDaneshtalab }} , title={{Routing Algorithms in Networks-on-Chip}} , publisher={Springer} , year={2014} } @incollection{b51, , title={{Exploiting New Interconnect Technologies in On-Chip Communication}} , author={{ JKim } and { CKiyoung } and { GLoh }} , journal={{IEEE Journal on Emerging and Selected Topics in Circuits and Systems}} 2 , year={2012} } @incollection{b52, , author={{ KBergman } and { LCarloni } and { ABiberman } and { JChan } and { GHendry } and { ; PChiang }} , booktitle={{Photonic Network-on-Chip Design}} New York , publisher={Springer-Verlag} , year={2014. 2012} 68 , note={ISRN Electronics} } @book{b53, , author={{ KTatas } and { KSiozios } and { DSoudris } and { AJantsch }} , title={{Designing 2D and 3D Network-on-Chip Architectures}} , publisher={Springer} , year={2014} } @incollection{b54, , title={{A Survey of Network-On-Chip Tools}} , author={{ ABen Achballah } and { SBenSaoud }} , journal={{International Journal of Advanced Computer Science and Applications (IJACSA)}} 4 , year={2013} } @incollection{b55, , title={{Lowpower Networks-on-Chip: Progress and remaining challenges}} , author={{ MBuckler } and { WBurleson } and { GSadowski }} , booktitle={{IEEE International Symposium on Low Power Electronics and Design (ISLPED)}} , year={2013} } @incollection{b56, , title={{A Survey of Logic Based Distributed Routing for On-Chip Interconnection Networks}} , author={{ NChoudhary } and { CMSamota }} , journal={{International Journal of Soft Computing and Engineering (IJSCE)}} 3 , year={2013} } @incollection{b57, , title={{Methods for fault tolerance in networks-on-chip}} , author={{ MRadetzki } and { CFeng } and { XZhao } and { AJantsch }} , journal={{ACM Computing Surveys}} 46 , year={2013} } @incollection{b58, , title={{A survey on energy-efficient methodologies and architectures of network-onchip}} , author={{ AAbbas } and { MAli } and { AFayyaz } and { AGhosh } and { AKalra } and { SUKhan }} , journal={{Computers & Electrical Engineering}} 40 , year={2014} } @incollection{b59, , title={{Research Challenges on 2-D and 3-D Network-on-Chips}} , author={{ HMatsutani }} , booktitle={{First International Symposium on Computing and Networking (CANDAR)}} , year={2013} } @incollection{b60, , title={{Foundations of On-chip Communication: Performance and Power Management in 2D and 3D Multicore Platforms}} , author={{ RMarculescu }} , booktitle={{8th IEEE/ACM International Symposium on Networkson-Chip}} , year={2014} } @book{b61, , title={{Design and Analysis of NoCs for Low-Power 2D and 3D SoCs}} , author={{ CSeiculescu } and { SMurali } and { LBenini } and { GMicheli }} , editor={Low Power Networks-on-Chip, C. Silvano, M. Lajolo, and G. Palermo} , year={2011} , publisher={Springer US} } @incollection{b62, , title={{Graceful deadlock-free fault-tolerant routing algorithm for 3D Network-on-Chip architectures}} , author={{ A } and { BenAhmed } and { ABen Abdallah }} , journal={{Journal of Parallel and Distributed Computing}} 74 , year={2014} } @incollection{b63, , title={{Architecture and design of high-throughput, low-latency, and fault-tolerant routing algorithm for 3D-network-onchip (3D-NoC)}} , author={{ A } and { BenAhmed } and { ABen Abdallah }} , journal={{The Journal of Supercomputing}} 66 , year={2013/12/01 2013} } @book{b64, , title={{Elevator-First: A Deadlock-Free Distributed Routing Algorithm for Vertically Partially Year}} , author={{ FDubois } and { ASheibanyrad } and { FPe } and { MBahmani }} , year={2017} } @incollection{b65, , title={{Review of Emerging Technology Networks-on-Chip Proposals Connected 3D-NoCs}} , author={{ F An Extansive }} , journal={{IEEE Transactions on Computers}} 62 , year={2013} } @incollection{b66, , title={{Deadlock free routing algorithm for minimizing congestion in a Hamiltonian connected recursive 3D-NoCs}} , author={{ KSomasundaram } and { JPlosila } and { NViswanathan }} , journal={{Microelectronics Journal}} 45 , year={2014} } @incollection{b67, , title={{A deadlock-free routing algorithm requiring no virtual channel on 3D-NoCs with partial vertical connections}} , author={{ JLee } and { KChoi }} , booktitle={{7th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2013} } @incollection{b68, , title={{REDELF: An Energy-Efficient Deadlock-Free Routing for 3D NoCs with Partial Vertical Connections}} , author={{ JLee } and { KKang } and { KChoi }} , journal={{Journal on Emerging Technologies in Computing Systems}} 12 , year={2015} } @incollection{b69, , title={{Faulttolerant routing algorithm for 3D NoC using hamiltonian path strategy}} , author={{ MEbrahimi } and { MDaneshtalab } and { JPlosila }} , booktitle={{Design, Automation & Test in Europe Conference & Exhibition (DATE)}} , year={2013} } @incollection{b70, , title={{A low overhead, fault tolerant and congestion aware routing algorithm for 3D mesh-based Network-on-Chips}} , author={{ H } and { NaghibiJouybari } and { KMohammadi }} , journal={{Microprocessors and Microsystems}} 38 , year={2014} } @incollection{b71, , title={{FT-Z-OE: A Fault Tolerant and Low Overhead Routing Algorithm on TSV-based 3D Network on Chip Links}} , author={{ H } and { NaghibiJouybari } and { KMohammadi }} , journal={{International Journal of Computer Applications}} 115 , year={2015} } @incollection{b72, , title={{Tiny -optimised 3D mesh NoC for area and latency minimisation}} , author={{ CMarcon } and { TWebber } and { RFernandes } and { RCataldo } and { FGrando } and { LPoehls }} , journal={{Electronics Letters}} 50 , year={2014} } @incollection{b73, , title={{3D Wireless NoC Architectures (Special Session Presentation)}} , author={{ HMatsutani }} , booktitle={{8th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2014} } @incollection{b74, , title={{3D NoC with Inductive-Coupling Links for Building-Block SiPs}} , author={{ YTake } and { HMatsutani } and { DSasaki } and { MKoibuchi } and { TKuroda } and { HAmano }} , journal={{IEEE Transactions on Computers}} 63 , year={2012} } @incollection{b75, , title={{A Scalable 3D Heterogeneous Multicore with an Inductive ThruChip Interface}} , author={{ NMiura } and { YKoizumi } and { YTake } and { HMatsutani } and { TKuroda } and { HAmano }} , journal={{IEEE Micro}} 33 , year={2013} } @incollection{b76, , title={{A case for wireless 3D NoCs for CMPs}} , author={{ HMatsutani } and { PBogdan } and { RMarculescu } and { YTake } and { DSasaki } and { ZHao }} , booktitle={{18th Asia and South Pacific Design Automation Conference}} , year={2013} } @incollection{b77, , title={{Dynamic Power Consumption Optimization for Inductive-Coupling based Wireless 3D NoCs}} , author={{ HZhang } and { HMatsutani } and { MKoibuchi } and { HAmano }} , journal={{IPSJ Transactions on System LSI Design Methodology}} 7 , year={2014} } @incollection{b78, , title={{Low-Latency Wireless 3D NoCs via Randomized Shortcut Chips}} , author={{ HMatsutani } and { MKoibuchi } and { IFujiwara } and { TKagami } and { YTake } and { TKuroda }} , booktitle={{Design, Automation & Test in Europe Conference & Exhibition (DATE)}} , year={2014} } @incollection{b79, , title={{Power-aware Mapping for 3D-NoC Designs Using Genetic Algorithms}} , author={{ HElmiligi } and { FGebali } and { MWEl-Kharashi }} , journal={{Procedia Computer Science}} 34 , year={2014} } @incollection{b80, , title={{In-order delivery approach for 2D and 3D NoCs}} , author={{ MDaneshtalab } and { MEbrahimi } and { SDytckov } and { JPlosila }} , journal={{The Journal of Supercomputing}} , year={2014/12/04 2014} } @incollection{b81, , title={{Analytical Reliability Analysis of 3D NoC under TSV Failure}} , author={{ MKhayambashi } and { PMYaghini } and { AEghbal } and { NBagherzadeh }} , journal={{Journal on Emerging Technologies in Computing Systems}} 11 , year={2015} } @incollection{b82, , title={{A Sophisticated Routing Algorithm in 3D NoC with Fixed TSVs for Low Energy and Latency}} , author={{ XJiang } and { LZeng } and { TWatanabe }} , journal={{IPSJ Transactions on System LSI Design Methodology}} 7 , year={2014} } @incollection{b83, , title={{Fast and optimized task allocation method for low vertical link density 3-Dimensional Networks-on-Chip based many core systems}} , author={{ HYing } and { THollstein } and { KHofmann }} , booktitle={{Design, Automation & Test in Europe Conference & Exhibition (DATE)}} , year={2013} } @incollection{b84, , title={{Path-based partitioning methods for 3D networks-on-chip with minimal adaptive routing}} , author={{ MEbrahimi } and { MDaneshtalab } and { PLiljeberg } and { JPlosila } and { JFlich } and { HTenhunen }} , journal={{IEEE Transactions on Computers}} 63 , year={2014} } @incollection{b85, , title={{A New Recursive Partitioning Multicast Routing Algorithm for 3D Network-on-Chip}} , author={{ NKMeena } and { HKKapoor } and { SChakraborty }} , booktitle={{18th International Symposium on VLSI Design and Test}} , year={2014} } @incollection{b86, , title={{Thermal Optimization in Network-on-Chip-Based 3D Chip Multiprocessors Using Dynamic Programming Networks}} , author={{ NDahir } and { REAl-Dujaily } and { TMak } and { AYakovlev }} , journal={{ACM Transactions on Embedded Computing Systems}} 13 , year={2014} } @incollection{b87, , title={{Optical interconnections for VLSI systems}} , author={{ JWGoodman } and { FJLeonberger } and { KSun-Yuan } and { RAAthale }} , journal={{Proceedings of the IEEE}} 72 , year={1984} } @incollection{b88, , title={{Comparison between optical and electrical interconnects based on power and speed considerations}} , author={{ MRFeldman } and { SCEsener } and { CCGuest } and { SHLee }} , journal={{Applied Optics}} 27 , year={1988/05/01 1988. 2017} } @incollection{b89, , title={{Electrically pumped hybrid AlGaInAs-silicon evanescent laser}} , author={{ HPark } and { OCohen } and { RJones } and { MJPaniccia } and { JEBowers }} , booktitle={{An Extansive Review of Emerging Technology Networks-on-Chip Proposals 90. A. W. Fang}} , year={2006} 14 } @incollection{b90, , title={{VCSELs for 10 GB/s optical interconnects}} , author={{ JTatum }} , booktitle={{IEEE Emerging Technologies Symposium on BroadBand Communications for the Internet Era Symposium digest}} , year={2001} } @incollection{b91, , title={{Alloptical switching on a silicon chip}} , author={{ VRAlmeida } and { CABarrios } and { PRPanepucci } and { MLipson } and { MAFoster } and { DGOuzounov }} , journal={{Opt. Lett}} 29 , year={2004} } @incollection{b92, , title={{First demonstration of error-free operation of a full silicon on-chip photonic link}} , author={{ NOphir } and { KPadmaraju } and { ABiberman } and { CLong } and { KPreston } and { MLipson }} , booktitle={{National Fiber Optic Engineers Conference Optical Fiber Communication Conference and Exposition}} , year={2011 and the 2011} } @incollection{b93, , title={{1-Gb/s integrated optical detectors and receivers in commercial CMOS technologies}} , author={{ TKWoodward } and { AVKrishnamoorthy }} , journal={{IEEE Journal of Selected Topics in Quantum Electronics}} 5 , year={1999} } @incollection{b94, , title={{Ultracompact optical buffers on a silicon chip}} , author={{ FXia } and { LSekaric } and { YVlasov }} , journal={{Nature Photonics}} 1 , year={01//print 2007} } @incollection{b95, , title={{All-optical logic based on silicon micro-ring resonators}} , author={{ QXu } and { MLipson }} , journal={{Optics Express}} 15 , year={2007/02/05 2007} } @book{b96, , title={{IBM creates first cheap, commercially viable, electronic-photonic integrated chip, available in: www.extreme tech.com/com puting/142881-ibmcreates-first-cheap-commerci ally-viable-siliconnanophotonic-chip}} , author={{ SAnthony }} , year={2012} } @incollection{b97, , title={{On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions}} , author={{ MHaurylau } and { CGuoqing } and { CHui } and { ZJidong } and { NANelson } and { DHAlbonesi }} , journal={{IEEE Journal of Selected Topics in Quantum Electronics}} 12 , year={2006} } @incollection{b98, , title={{Predictions of CMOS compatible on-chip optical interconnect}} , author={{ GChen } and { HChen } and { MHaurylau } and { NANelson } and { DHAlbonesi } and { PMFauchet }} , journal={{Integration, the VLSI Journal}} 40 , year={2007} } @incollection{b99, , title={{Design Exploration of Optical Interconnection Networks for Chip Multiprocessors}} , author={{ MPetracca } and { BGLee } and { KBergman } and { LPCarloni }} , booktitle={{IEEE 16th Annual Symposium on High Performance Interconnects (HOTI)}} , year={2008} } @incollection{b100, , title={{Leveraging Optical Technology in Future Bus-based Chip Multiprocessors}} , author={{ NKirman } and { MKirman } and { RKDokania } and { JFMartinez } and { ABApsel } and { MAWatkins }} , booktitle={{39th Annual IEEE/ACM International Symposium on Microarchitecture}} , year={2006} } @incollection{b101, , title={{ATAC: All-to-All Computing Using On-Chip Optical Interconnects}} , author={{ JPsota } and { JEastep } and { JMiller } and { TKonstantakopoulos } and { MWatts } and { MBeals }} , booktitle={{Boston Area Architecture (BARC)}} , year={2007} } @incollection{b102, , title={{ATAC: a 1000-core cache-coherent processor with on-chip optical network}} , author={{ GKurian } and { JEMiller } and { JPsota } and { JEastep } and { JLiu } and { JMichel }} , booktitle={{Proceedings of the 19th international conference on Parallel architectures and compilation techniques (PACT)}} the 19th international conference on Parallel architectures and compilation techniques (PACT) , year={2010} } @incollection{b103, , title={{ATAC: Improving Performance and Programmability with On-Chip Optical Networks}} , author={{ JPsota } and { JMiller } and { GKurian } and { HHoffmann } and { NBeckmann } and { JEastep }} , booktitle={{Proceedings of International Symposium on Circuits and Systems (ISCS)}} International Symposium on Circuits and Systems (ISCS) , year={2010} } @incollection{b104, , title={{Building Ultralow-Latency Interconnection Networks Using Photonic Integration}} , author={{ AShacham } and { KBergman }} , journal={{IEEE Micro}} 27 , year={2007} } @incollection{b105, , title={{An Experimental Validation of a Wavelength-Striped, Packet Switched, Optical Interconnection Network}} , author={{ AShacham } and { KBergman }} , journal={{Journal of Lightwave Technology}} 27 , year={2009} } @incollection{b106, , title={{Corona: System Implications of Emerging Nanophotonic Technology}} , author={{ DVantrease } and { RSchreiber } and { MMonchiero } and { MMclaren } and { NPJouppi } and { MFiorentino }} , booktitle={{35th International Symposium on Computer Architecture}} , year={2008} } @incollection{b107, , title={{Light speed arbitration and flow control for nanophotonic interconnects}} , author={{ DVantrease } and { NBinkert } and { RSchreiber } and { MHLipasti }} , booktitle={{42nd Annual IEEE/ACM International Symposium on Microarchitecture}} , year={2009} } @incollection{b108, , title={{Silicon Nanophotonic Network-on-Chip Using TDM Arbitration}} , author={{ GHendry } and { JChan } and { SKamil } and { LOliker } and { JShalf } and { LPCarloni }} , booktitle={{IEEE 18th Annual Symposium on High Performance Interconnects (HOTI)}} , year={2010} } @incollection{b109, , title={{Analysis of photonic networks for a chip multiprocessor using scientific applications}} , author={{ GHendry } and { SKamil } and { ABiberman } and { JChan } and { BGLee } and { MMohiyuddin }} , booktitle={{3rd ACM/IEEE International Symposium on Networks}} , year={2009} } @incollection{b110, , title={{Broadband CMOS-Compatible Silicon Photonic Electro-Optic Switch for Photonic Networks-on-Chip}} , author={{ ABiberman } and { HLLira } and { KPadmaraju } and { NOphir } and { MLipson } and { KBergman }} , booktitle={{Conference on Lasers and Electro-Optics}} San Jose, California , year={2010} A11 } @book{b111, , title={{CMOS-compatible scalable photonic switch architecture using 3D-integrated deposited silicon materials for highperformance data center networks}} , author={{ ABiberman } and { GHendry } and { JChan } and { HWang } and { KBergman } and { KPreston }} , year={2017} , note={in Conference Year} } @book{b112, , title={{Review of Emerging Technology Networks-on-Chip Proposals on Optical Fiber Communication, collocated National Fiber Optic Engineers Conference}} , author={{ F An Extansive }} , year={2011} } @incollection{b113, , title={{Low-power photonic links: Breaking the picojoule-per-bit barrier}} , author={{ AVKrishnamoorthy } and { RHo } and { XZheng } and { GLi } and { JECunningham } and { DFeng }} , booktitle={{23rd Annual Meeting of the IEEE Photonics Society}} , year={2010} } @incollection{b114, , title={{Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics}} , author={{ CBatten } and { AJoshi } and { JOrcutt } and { AKhilo } and { BMoss } and { CHolzwarth }} , booktitle={{IEEE 16th Annual Symposium on High Performance Interconnects (HOTI)}} , year={2008} } @incollection{b115, , title={{Building Manycore Processor-to-DRAM Networks with Monolithic CMOS Silicon Photonics}} , author={{ CBatten } and { AJoshi } and { JOrcutt } and { CHolzwarth } and { MPopovic } and { JHoyt }} , journal={{IEEE Micro}} , year={2009} } @incollection{b116, , title={{CMOS photonic processormemory networks}} , author={{ VStojanovic } and { AJoshi } and { CBatten } and { KYong-Jin } and { SBeamer } and { CSun }} , booktitle={{IEEE Photonics Society Winter Topicals Meeting Series (WTM)}} , year={2010} } @incollection{b117, , title={{Limits and Opportunities for Designing Manycore Processor-to-Memory Networks using Monolithic Silicon Photonics}} , author={{ AJoshi } and { CBatten } and { KYong-Jin } and { SBeamer } and { IShamim }} , booktitle={{Workshop on Photonic Interconnects and Computer Architecture (PICA) held in conjunction with MICRO-42}} , year={2009} } @incollection{b118, , title={{Re-architecting DRAM memory systems with monolithically integrated silicon photonics}} , author={{ SBeamer } and { CSun } and { Y.-JKwon } and { AJoshi } and { CBatten } and { VStojanovi }} , booktitle={{Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA)}} the 37th Annual International Symposium on Computer Architecture (ISCA) , year={2010} } @incollection{b119, , title={{Designing Chip-Level Nanophotonic Interconnection Networks}} , author={{ CBatten } and { AJoshi } and { VStojanovic } and { KAsanovic }} , journal={{IEEE Journal on Emerging and Selected Topics in Circuits and Systems}} 2 , year={2012} } @incollection{b120, , title={{Design-space exploration for CMOS photonic processor networks}} , author={{ VStojanovic } and { AJoshi } and { CBatten } and { YJKwon } and { SBeamer } and { SChen }} , booktitle={{Conference on Optical Fiber Communication, collocated National Fiber Optic Engineers Conference (OFC/NFOEC)}} , year={2010} } @book{b121, , title={{Silicon-photonic clos networks for global on-chip communication}} , author={{ AJoshi } and { CBatten } and { KYong-Jin } and { SBeamer } and { IShamim } and { KAsanovic }} 3 } @book{b122, , title={{ACM/IEEE International Symposium on Networks}} , year={2009} } @incollection{b123, , title={{BLOCON: A Bufferless Photonic Clos network-on-chip architecture}} , author={{ KYu-Hsiang } and { HJChao }} , booktitle={{5th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2011} } @incollection{b124, , title={{Contention-free on-chip routing of optical packets}} , author={{ SKoohi } and { SHessabi }} , booktitle={{3rd ACM/IEEE International Symposium on Networks}} , year={2009} } @incollection{b125, , title={{Scalable architecture for a contention-free optical network on-chip}} , author={{ SKoohi } and { SHessabi }} , journal={{Journal of Parallel and Distributed Computing}} 72 , year={2012} } @incollection{b126, , title={{Firefly: Illuminating Future Network-on-Chip with Nanophotonics}} , author={{ YPan } and { PKumar } and { JKim } and { YMemik } and { AZhang } and { Choudharym }} , booktitle={{Proceedings of the 36th Annual International Symposium on Computer Architecture}} the 36th Annual International Symposium on Computer Architecture , year={2009} } @incollection{b127, , title={{FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar}} , author={{ YPan } and { JKim } and { GMemik }} , booktitle={{IEEE 16th International Symposium on High Performance Computer Architecture (HPCA)}} , year={2010} } @incollection{b128, , title={{Exploring the Design of 64-and 256-Core Power Efficient Nanophotonic Interconnect}} , author={{ RMorris } and { AKKodi }} , journal={{IEEE Journal of Selected Topics in Quantum Electronics}} 16 , year={2010} } @incollection{b129, , title={{An intra-chip free-space optical interconnect}} , author={{ JXue } and { AGarg } and { BCiftcioglu } and { JHu } and { SWang } and { ISavidis }} , booktitle={{Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA)}} the 37th Annual International Symposium on Computer Architecture (ISCA) , year={2010} } @incollection{b130, , title={{A 3-D Integrated Intrachip Free-Space Optical Interconnect for Many-Core Chips}} , author={{ BCiftcioglu } and { RBerman } and { ZJian } and { ZDarling } and { WShang } and { HJianyun }} , journal={{IEEE Photonics Technology Letters}} 23 , year={2011} } @incollection{b131, , title={{Olympic: A Hierarchical All-Optical Photonic Network for Low-Power Chip Multiprocessors}} , author={{ SBartolini } and { LLusnig } and { EMartinelli }} , booktitle={{16th Euromicro Conference on Digital System Design (DSD)}} , year={2013} } @incollection{b132, , title={{LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip}} , author={{ CLi } and { MBrowning } and { PVGratz } and { SPalermo }} , journal={{IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}} 33 , year={2014} } @incollection{b133, , title={{Towards High-Performance and Power-Efficient Optical NoCs Using Silicon-in-Silica Photonic Components}} , author={{ EKakoulli } and { VSoteriou } and { CKoutsides } and { KKalli }} , booktitle={{9th International Workshop on Interconnection Network Architectures: On-Chip}} Multi-Chip (INA-OCMC , year={2015} } @incollection{b134, , title={{P-sync: A Photonically Enabled Architecture for Efficient Nonlocal Data Access}} , author={{ DWhelihan } and { JJHughes } and { SMSawyer } and { ERobinson } and { MWolf } and { SMohindra }} , booktitle={{IEEE 27th International Parallel and Distributed Processing Symposium}} , year={2013} } @book{b135, , author={{ AZulfiqar } and { PKoka } and { HSchwetman } and { MLipasti } and { XZheng } and { AKrishnamoorthy }} , title={{Wavelength stealing: an opportunistic approach to channel Year}} , year={2017} } @book{b136, , title={{An Extansive Review of Emerging Technology Networks-on-Chip Proposals sharing in multi-chip photonic interconnects}} 46 } @book{b137, , title={{Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)}} , year={2013} } @incollection{b138, , title={{PROBE: Prediction-based optical bandwidth scaling for energy-efficient NoCs}} , author={{ LZhou } and { AKodi }} , booktitle={{7th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2013} } @incollection{b139, , title={{Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture}} , author={{ CChao } and { AJoshi }} , journal={{IEEE Journal of Selected Topics in Quantum Electronics}} 19 , year={2013} } @incollection{b140, , title={{Sharing and Placement of On-chip Laser Sources in Silicon-Photonic NoCs}} , author={{ CChen } and { TZhang } and { PContu } and { JKlamkin } and { ACoskun } and { AJoshi }} , booktitle={{8th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2014} } @incollection{b141, , title={{Energy Efficient and Energy Proportional Optical Interconnects for Multi-Core Processors: Driving the Need for On-Chip Sources}} , author={{ MJ RHeck } and { JEBowers }} , journal={{IEEE Journal of Selected Topics in Quantum Electronics}} 20 , year={2014} } @incollection{b142, , title={{Silicon-based all-optical multi microring network-on-chip}} , author={{ PPintus } and { PContu } and { PGRaponi } and { ICerutti } and { NAndriolli }} , journal={{Optics Letters}} 39 , year={2014/02/15 2014} } @incollection{b143, , title={{Demonstration of a Photonic Integrated Network-on-chip with Multi Microrings}} , author={{ FGambini } and { PPintus } and { SFaralli } and { NAndriolli } and { ICerutti }} , booktitle={{Optical Fiber Communication Conference}} Los Angeles, California , year={2015} } @incollection{b144, , title={{Capturing the sensitivity of optical network quality metrics to its network interface parameters}} , author={{ MOrtín-Obón } and { LRamini } and { VViñals } and { DBertozzi }} , journal={{Concurrency and Computation: Practice and Experience}} 26 , year={2014} } @incollection{b145, , title={{All-Optical Wavelength-Routed Architecture for a Power-Efficient Network on Chip}} , author={{ SKoohi } and { SHessabi }} , journal={{IEEE Transactions on Computers}} 63 , year={2012} } @incollection{b146, , title={{Towards a scalable, low-power all-optical architecture for networks-on-chip}} , author={{ SKoohi } and { YYin } and { SHessabi } and { SJ BYoo }} , journal={{ACM Transactions on Embedded Computing Systems}} 13 , year={2014} } @incollection{b147, , title={{QuT: A Low-Power Optical Network-on-Chip}} , author={{ PKHamedani } and { NEnrightJerger } and { SHessabi }} , booktitle={{8th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2014} } @incollection{b148, , title={{Amon: An Advanced Mesh-like Optical NoC}} , author={{ SWerner } and { JNavaridas } and { MLujan }} , booktitle={{IEEE 23 rd Annual Symposium on High-Performance Interconnects (HOTI)}} , year={2015} } @incollection{b149, , title={{SUOR: Sectioned Undirectional Optical Ring for Chip Multiprocessor}} , author={{ XWu } and { JXu } and { YYe } and { ZWang } and { MNikdast } and { XWang ; W. Xiaolu } and { GHuaxi } and { YYintang } and { WKun } and { HQinfen }} , journal={{ACM Journal on Emerging Technologies in Computing Systems}} 10 , year={2014. 2015} , note={IEEE Photonics Technology Letters} } @incollection{b150, , title={{Phastlane: a rapid transit optical routing network}} , author={{ MJCianchetti } and { JCKerekes } and { DHAlbonesi }} , booktitle={{Proceedings of the 36th Annual International Symposium on Computer Architecture}} the 36th Annual International Symposium on Computer Architecture , year={2009} } @incollection{b151, , title={{A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip}} , author={{ YYe } and { JXu } and { XWu } and { WZhang } and { WLiu } and { MNikdast }} , journal={{ACM Journal on Emerging Technologies in Computing Systems}} 8 , year={2012} } @incollection{b152, , title={{Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors}} , author={{ AShacham } and { KBergman } and { LPCarloni }} , journal={{IEEE Transactions on Computers}} 57 , year={2008} } @incollection{b153, , title={{METEOR: Hybrid photonic ring-mesh network-on-chip for multicore architectures}} , author={{ SBahirat } and { SPasricha }} , journal={{ACM Transactions on Embedded Computing Systems}} 13 , year={2014} } @incollection{b154, , title={{Design Options for Optical Ring Interconnect in Future Client Devices}} , author={{ PGrani } and { SBartolini }} , journal={{ACM Journal on Emerging Technologies in Computing Systems}} 10 , year={2014} } @incollection{b155, , title={{Energy-efficient Hybrid Optical-Electronic Network-on-Chip for Future Many-core Processors}} , author={{ WFu } and { TChen } and { LLiu }} , journal={{Elektronika ir Elektrotechnika}} 20 , year={2014} } @incollection{b156, , title={{A Hybrid Optoelectronic Networks-on-Chip Architecture}} , author={{ XTan } and { MYang } and { LZhang } and { XWang } and { YJiang }} , journal={{Journal of Lightwave Technology}} 32 , year={2014} } @incollection{b157, , title={{A Generic Optical Router Design for Photonic Network-on-Chips}} , author={{ TXianfang } and { YMei } and { ZLei } and { JYingtao } and { YJianyi }} , journal={{Journal of Lightwave Technology}} 30 , year={2012} } @incollection{b158, , title={{DCM: An IP for the Autonomous Control of Optical and Electrical Reconfigurable NoCs}} , author={{ WBüter } and { COsewold } and { DGregorek } and { AGarcía-Ortiz }} , booktitle={{Design, Automation & Test in Europe Conference & Exhibition (DATE)}} , year={2014} } @incollection{b159, , title={{Augmenting Manycore Programmable Accelerators with Photonic Interconnect Technology for the High-End Embedded Computing Domain}} , author={{ MBalboni } and { MOrtín-Obón } and { ACapotondi } and { AGhiribaldi } and { HFankem } and { LRamini }} , booktitle={{8th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2014} } @incollection{b160, , title={{3D optical networks-on-chip (NoC) for multiprocessor systems-on-chip (MPSoC)}} , author={{ YYe } and { LDuan } and { JXu } and { JOuyang } and { MKHung } and { YXie }} , booktitle={{IEEE International Conference on 3D System Integration}} , year={2009} } @incollection{b161, , title={{Layout guidelines for 3D architectures including Optical Ring Network-on-Chip (ORNoC)}} , author={{ SLeBeux } and { JTrajkovic } and { IO'connor } and { GNicolescu }} , booktitle={{IEEE/IFIP 19th International Conference on VLSI and System-on-Chip}} , publisher={VLSI-SoC} , year={2011} } @incollection{b162, , title={{Design and OPNET implementation of routing algorithm in 3D optical network on chip}} , author={{ CQing } and { HWeigang } and { YCunqian } and { HPengchao } and { ZLincong } and { GLei }} , booktitle={{IEEE/CIC International Conference on Communications in China (ICCC)}} , year={2014} } @incollection{b163, , title={{Tools and methodologies for designing energyefficient photonic networks-on-chip for highperformance chip multiprocessors}} , author={{ JChan } and { GHendry } and { ABiberman } and { KBergman }} , booktitle={{IEEE International Symposium on Circuits and Systems (ISCAS)}} , year={2010} } @incollection{b164, , title={{Architectural Exploration of Chip-Scale Photonic Interconnection Network Designs Using Physical-Layer Analysis}} , author={{ JChan } and { GHendry } and { ABiberman } and { KBergman }} , journal={{Journal of Lightwave Technology}} 28 , year={2010} } @incollection{b165, , title={{PhoenixSim: A simulator for physicallayer analysis of chip-scale photonic interconnection networks}} , author={{ JChan } and { GHendry } and { ABiberman } and { KBergman } and { LPCarloni }} , booktitle={{Design, Automation & Test in Europe Conference & Exhibition (DATE)}} , year={2010} } @incollection{b166, , title={{Physical-Layer Modeling and System-Level Design of Chip-Scale Photonic Interconnection Networks}} , author={{ JChan } and { GHendry } and { KBergman } and { LPCarloni }} , journal={{IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}} 30 , year={2011} } @incollection{b167, , title={{Silicon photonics: Energy-efficient communication}} , author={{ MAsghari } and { AVKrishnamoorthy }} , journal={{Nature Photonics}} 5 , year={05//print 2011} } @incollection{b168, , title={{Siliconphotonic network architectures for scalable, powerefficient multi-chip systems}} , author={{ PKoka } and { MOMccracken } and { HSchwetman } and { XZheng } and { RHo } and { AVKrishnamoorthy }} , booktitle={{Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA)}} the 37th Annual International Symposium on Computer Architecture (ISCA) , year={2010} } @incollection{b169, , title={{Computer Systems Based on Silicon Photonic Interconnects}} , author={{ AVKrishnamoorthy } and { RHo } and { ZXuezhe } and { HSchwetman } and { JLexau } and { PKoka }} , journal={{Proceedings of the IEEE}} 97 , year={2009} } @incollection{b170, , title={{Ring versus bus: A BER comparison of photonic integrated networks-on-chip}} , author={{ SFaralli } and { FGambini } and { PPintus } and { ICerutti } and { NAndriolli }} , booktitle={{2015 IEEE Optical Interconnects Conference (OI)}} , year={2015} } @incollection{b171, , title={{Thermal Management of Manycore Systems with Silicon-Photonic Networks}} , author={{ TZhang } and { JLAbellan } and { AJoshi } and { AKCoskun }} , booktitle={{Design, Automation & Test in Europe Conference & Exhibition (DATE)}} , year={2014} } @incollection{b172, , title={{VANDAL: A tool for the design specification of nanophotonic networks}} , author={{ GHendry } and { JChan } and { LPCarloni } and { KBergman }} , booktitle={{Design, Automation & Test in Europe Conference & Exhibition (DATE)}} , year={2011} } @incollection{b173, , title={{DSENT -A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling}} , author={{ CSun } and { C.-H. OwenChen } and { GKurian } and { LWei } and { JMiller } and { AAgarwal }} , booktitle={{6th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2012} } @incollection{b174, , title={{PROTON: An automatic place-and-route tool for optical Networks-on-Chip}} , author={{ ABoos } and { LRamini } and { USchlichtmann } and { DBertozzi }} , booktitle={{IEEE/ACM International Conference on Computer-Aided Design (ICCAD)}} , year={2013} } @incollection{b175, , title={{CLAP: a Crosstalk and Loss Analysis Platform for Optical Interconnects}} , author={{ MNikdast } and { LH KDuong } and { JXu } and { SLeBeux } and { XWu } and { ZWang }} , booktitle={{8th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2014} } @incollection{b176, , title={{System-Level Modeling and Analysis of Thermal Effects in WDM-Based Optical Networks-on-Chip}} , author={{ YYe } and { ZWang } and { PYang } and { JXu } and { XWu } and { XWang }} , journal={{IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}} 33 , year={2014} } @incollection{b177, , title={{PROTON+: A Placement and Routing Tool for 3D Optical Networks-on-Chip with a Single Optical Layer}} , author={{ AVon Beuningen } and { LRamini } and { DBertozzi } and { USchlichtmann }} , journal={{Journal on Emerging Technologies in Computing Systems}} 12 , year={2015} } @incollection{b178, , title={{Reliability-Aware Design Flow for Silicon Photonics On-Chip Interconnect}} , author={{ MMohamed } and { LZheng } and { CXi } and { SLi } and { ARMickelson }} , booktitle={{IEEE Transactions on Very Large Scale Integration (VLSI) Systems}} , year={2014} 22 } @incollection{b179, , title={{Stable and efficient quantum-dot light-emitting diodes based on solution-processed multilayer structures}} , author={{ LQian } and { YZheng } and { JXue } and { PHHolloway }} , journal={{Nature Photonics}} 5 , year={2011} } @incollection{b180, , title={{Exploring Communication Protocols for Optical Networks-on-Chip based on Ring Topologies}} , author={{ LRamini } and { MTala } and { DBertozzi }} , booktitle={{Asia Communications and Photonics Conference}} , year={2014} } @incollection{b181, , title={{Towards Compelling Cases for the Viability of Silicon-Nanophotonic Technology in Future Manycore Systems}} , author={{ LRamini } and { HFankem } and { AGhiribaldi } and { PaoloGrani } and { MOrtín-Obón } and { ABoos }} , booktitle={{8th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2014} } @incollection{b182, , title={{Assessing the Energy Year 2017 F Break-Even Point between an Optical NoC Architecture and an Aggressive Electronic Baseline}} , author={{ LRamini } and { PGrani } and { THFankem } and { AGhiribaldi } and { SBartolini } and { DBertozzi }} , booktitle={{Design, Automation & Test in Europe Conference & Exhibition (DATE)}} , year={2014} } @incollection{b183, , title={{Compact Thermo-Optic Switch Based on Tapered W1 Photonic Crystal Waveguide}} , author={{ ZQiang } and { CKaiyu } and { HZhilei } and { FXue } and { ZDengke } and { LFang }} , journal={{IEEE Photonics Journal}} 5 , year={2013} } @incollection{b184, , title={{On-chip Optical Interconnects using InGaN Light-Emitting Diodes Integrated with Si-CMOS}} , author={{ BWang } and { LZhang } and { WZhang } and { CWang } and { KELee } and { JMichel }} , booktitle={{Asia Communications and Photonics Conference}} Shanghai , year={2014} } @incollection{b185, , title={{Reconfigurable nonblocking 4-port silicon thermo-optic optical router based on Mach-Zehnder optical switches}} , author={{ LYang } and { YXia } and { FZhang } and { QChen } and { JDing } and { PZhou }} , journal={{Optics Letters}} 40 , year={2015/04/01 2015} } @incollection{b186, , title={{Technology Assessment of Silicon Interposers for Manycore SoCs: Active, Passive, or Optical?}} , author={{ YThonnart } and { MZid }} , booktitle={{8th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2014} } @book{b187, , title={{Inter/Intra-Chip Optical Network Bibliography}} The Hong Kong University of Science and Technology } @incollection{b188, , title={{Wireless network-on-chip: a new era in multi-core chip design}} , author={{ SDeb } and { H }} , booktitle={{25th IEEE International Symposium on Rapid System Prototyping (RSP)}} , year={2014} } @incollection{b189, , title={{12 GHz wireless clock delivery using on-chip antennas: A case for future intra/inter-chip wireless interconnect}} , author={{ YDing } and { CLu } and { XHe } and { H.-ZTan }} , booktitle={{IEEE International Conference on Computer Science and Automation Engineering}} , year={2012} } @incollection{b190, , title={{A low power, high data rate ir-uwb pulse generator with BPSK modulation in 90nm CMOS technology for onchip wireless interconnects}} , author={{ MNKarim } and { SM IHossain } and { PKSaha }} , booktitle={{International Conference on Informatics, Electronics & Vision (ICIEV)}} , year={2012} } @incollection{b191, , title={{A wideband body-enabled millimeter-wave transceiver for wireless Network-on-Chip}} , author={{ YXinmin } and { SPSah } and { SDeb } and { PPPande } and { BBelzer } and { HDeukhyoun }} , booktitle={{IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS)}} , year={2011} } @incollection{b192, , title={{Development of 60-GHz Wireless Interconnects for Interchip Data Transmission}} , author={{ YHo-Hsin } and { KLMelde }} , journal={{IEEE Transactions on Components, Packaging and Manufacturing Technology}} 3 , year={2013} } @incollection{b193, , title={{Planar Wireless NoC Architectures}} , author={{ PPPande } and { DHeo }} , booktitle={{8th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2014} } @incollection{b194, , title={{Enhancing performance of networkon-chip architectures with millimeter-wave wireless interconnects}} , author={{ SDeb } and { AGanguly } and { KChang } and { PPande } and { BBeizer } and { DHeo }} , booktitle={{21st IEEE International Conference on Application-specific Systems Architectures and Processors (ASAP)}} , year={2010} } @incollection{b195, , title={{Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems}} , author={{ AGanguly } and { KChang } and { SDeb } and { PPPande } and { BBelzer } and { CTeuscher }} , journal={{IEEE Transactions on Computers}} 60 , year={2011} } @incollection{b196, , title={{SD-MAC: Design and Synthesis of a Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip}} , author={{ DZhao } and { YWang }} , journal={{IEEE Transactions on Computers}} 57 , year={2008} } @incollection{b197, , title={{Design of multi-channel wireless NoC to improve on-chip communication capacity!}} , author={{ DZhao } and { YWang } and { LJian } and { TKikkawa }} , booktitle={{5th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2011} } @incollection{b198, , title={{Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip}} , author={{ DZhao } and { WRuizhe }} , booktitle={{6th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2012} } @incollection{b199, , title={{Design of a scalable RF microarchitecture for heterogeneous MPSoCs}} , author={{ DZhao } and { YWang }} , booktitle={{IEEE International SOC Conference (SOCC)}} , year={2012} } @incollection{b200, , title={{DuSCA: A multichanneling strategy for doubling communication capacity in wireless NoC}} , author={{ DZhao } and { YWang } and { LJian }} , booktitle={{IEEE 30th International Conference on Computer Design (ICCD)}} , year={2012} } @incollection{b201, , title={{Load adaptive multichannel distribution and arbitration in unequal RF interconnected WiNoC}} , author={{ WRuizhe } and { DZhao }} , booktitle={{IEEE International Symposium on Circuits and Systems (ISCAS)}} , year={2014} } @incollection{b202, , title={{A scalable micro wireless interconnect structure for CMPs}} , author={{ S.-BLee } and { S.-WTam } and { IPefkianakis } and { SLu } and { MFChang } and { CGuo }} , booktitle={{Proceedings of the 15th annual international conference on Mobile computing and networking}} the 15th annual international conference on Mobile computing and networkingBeijing, China , year={2009} } @incollection{b203, , title={{Incremental design of scalable wireless interconnection structure for CMPs}} , author={{ CHanhua } and { HQiong } and { JHai }} , booktitle={{IEEE 22nd International Symposium of Quality of Service (IWQoS)}} , year={2014} } @incollection{b204, , title={{A Wireless Network-on-Chip Design for Multicore Platforms}} , author={{ WChifeng } and { HWen-Hsiang } and { NBagherzadeh }} , booktitle={{19th Euromicro International Conference on Parallel, Distributed and Network-Based Processing}} , year={2011} } @incollection{b205, , title={{iWISE: Inter-router Wireless Scalable Express Channels for Network-on-Chips (NoCs) Year 2017 F Architecture}} , author={{ DDitomaso } and { AKodi } and { SKaya } and { DMatolak }} , booktitle={{IEEE 19th Annual Symposium on High Performance Interconnects (HOTI)}} , year={2011} } @incollection{b206, , title={{Energy-efficient adaptive wireless NoCs architecture}} , author={{ DDitomaso } and { AKodi } and { DMatolak } and { SKaya } and { SLaha } and { WRayess }} , booktitle={{7th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2013} } @incollection{b207, , title={{A study of a wire-wireless hybrid NoC architecture with an energy-proportional multicast scheme for energy efficiency}} , author={{ PDai } and { JChen } and { YZhao } and { Y.-HLai }} , journal={{Computers & Electrical Engineering}} 45 , year={2015} } @incollection{b208, , title={{I(Re)2 -WiNoC: Exploring scalable wireless on-chip micronetworks for heterogeneous embedded manycore SoCs}} , author={{ DZhao } and { YWang } and { HWu } and { TKikkawa }} , journal={{Digital Communications and Networks}} 1 , year={2015} } @incollection{b209, , title={{Performance evaluation and design trade-offs for wireless network-on-chip architectures}} , author={{ KChang } and { SDeb } and { AGanguly } and { XYu } and { SPSah } and { PPPande }} , journal={{ACM Journal on Emerging Technologies in Computing Systems}} 8 , year={2012} } @incollection{b210, , title={{Design of an Energy Efficient CMOS Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects}} , author={{ SDeb } and { KChang } and { XYu } and { SPSah } and { MCosic } and { AGanguly }} , journal={{IEEE Transactions on Computers}} 62 , year={2013} } @incollection{b211, , title={{A 1.2-pJ/bit 16-Gb/s 60-GHz OOK Transmitter in 65-nm CMOS for Wireless Network-On-Chip}} , author={{ YXinmin } and { SPSah } and { HRashtian } and { SMirabbasi } and { PPPande } and { HDeukhyoun }} , journal={{IEEE Transactions on Microwave Theory and Techniques}} 62 , year={2014} } @incollection{b212, , title={{An 18.7-Gb/s 60-GHz OOK Demodulator in 65-nm CMOS for Wireless Networkon-Chip}} , author={{ YXinmin } and { HRashtian } and { SMirabbasi } and { PPPande } and { HDeukhyoun }} , journal={{IEEE Transactions on}} 62 , year={2015} , note={Circuits and Systems I: Regular Papers} } @incollection{b213, , title={{Performance Evaluation of Wireless NoCs in Presence of Irregular Network Routing Strategies}} , author={{ PWettin } and { JMurray } and { RKim } and { XYu } and { PPPande } and { DHeo }} , booktitle={{Design, Automation & Test in Europe Conference & Exhibition (DATE)}} , year={2014} } @incollection{b214, , title={{Performance Evaluation of Congestion-Aware Routing with DVFS on a Millimeter-Wave Small-World Wireless NoC}} , author={{ JMurray } and { RKim } and { PWettin } and { PPPande } and { BShirazi }} , journal={{ACM Journal on Emerging Technologies in Computing Systems}} 11 , year={2014} } @incollection{b215, , title={{Improving EDP in wireless NoC-enabled multicore chips via DVFS pruning}} , author={{ CWonje } and { SHajiamin } and { RGKim } and { ARahimi } and { NHezarjaribi } and { PPPande }} , booktitle={{IEEE 58th International Midwest Symposium onCircuits and Systems (MWSCAS)}} , year={2015} } @incollection{b216, , title={{Complex network inspired fault-tolerant NoC architectures with wireless links}} , author={{ AGanguly } and { PWettin } and { KChang } and { PPande }} , booktitle={{5th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2011} } @incollection{b217, , title={{A Unified Error Control Coding Scheme to Enhance the Reliability of a Hybrid Wireless Network-on-Chip}} , author={{ AGanguly } and { PPande } and { BBelzer } and { ANojeh }} , booktitle={{IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)}} , year={2011} } @incollection{b218, , title={{An Adaptive Transmitting Power Technique for Energy Efficient mm-Wave Wireless NoCs}} , author={{ AMineo } and { MPalesi } and { GAscia }} , booktitle={{Design, Automation & Test in Europe Conference & Exhibition (DATE)}} , year={2014} } @book{b219, , title={{Noxim User Guide}} , author={{ MPalesi } and { DPatti } and { FFazzino }} , year={2010} } @incollection{b220, , title={{Runtime Tunable Transmitting Power Technique in mm-Wave WiNoC Architectures}} , author={{ AMineo } and { MPalesi } and { GAscia } and { VCatania }} , booktitle={{IEEE Transactions on Very Large Scale Integration (VLSI) Systems}} 2015 } @incollection{b221, , title={{A New Frontier in Ultralow Power Wireless Links: Network-on-Chip and Chipto-Chip Interconnects}} , author={{ SLaha } and { SKaya } and { DWMatolak } and { WRayess } and { DDitomaso } and { AKodi }} , journal={{IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}} 34 , year={2015} } @incollection{b222, , title={{Broadcast-Enabled Massive Multicore Architectures: A Wireless RF Approach}} , author={{ SAbadal } and { BSheinman } and { OKatz } and { OMarkish } and { DElad } and { YFournier }} , journal={{IEEE Micro}} 35 , year={2015} } @incollection{b223, , title={{Design Methodology for a Robust and Energy-Efficient Millimeter-Wave Wireless Network-on-Chip}} , author={{ NMansoor } and { PJ SIruthayaraj } and { AGanguly }} , journal={{IEEE Transactions on Multi-Scale Computing Systems}} 1 , year={2015} } @incollection{b224, , title={{A fault-tolerant hierarchical hybrid mesh-based wireless networkon-chip architecture for multicore platforms}} , author={{ ADehghani } and { KJamshidi }} , journal={{The Journal of Supercomputing}} 71 , year={2015} } @incollection{b225, , title={{Can RF Help CMOS Processors?}} , author={{ ESocher } and { MC FChang }} , journal={{IEEE Communications Magazine}} 45 , year={2007} } @book{b226, , title={{RF-Interconnect for Future Network-On-Chip}} , author={{ S.-WTam } and { ESocher } and { MFChang } and { JCong } and { GReinman }} , editor={Low Power Networks-on-Chip, C. Silvano, M. Lajolo, and G. Palermo} , year={2011} , publisher={Springer US} } @incollection{b227, , title={{RF/wireless interconnect for inter-and intra-chip communications}} , author={{ MFChang } and { VPRoychowdhury } and { ZLiyang } and { SHyunchol } and { QYongxi }} , journal={{Proceedings of the IEEE}} 89 , year={2001. 2001} , note={Proceedings of the IEEE} } @incollection{b228, , title={{1.1 Gbit/s RFinterconnect based on 10 GHz RF-modulation in 0.18 µm CMOS}} , author={{ HShin } and { MFChang }} , journal={{Electronics Letters}} 38 , year={2002} } @incollection{b229, , title={{Estimation of signal-to-noise ratio improvement in RF-interconnect}} , author={{ HShin } and { ZXu } and { KMiyashiro } and { MFChang }} , journal={{Electronics Letters}} 38 , year={2002} } @incollection{b230, , title={{Advanced RF/baseband interconnect schemes for inter-and intra-ULSI communications}} , author={{ MFChang } and { IVerbauwhede } and { CChien } and { ZXu } and { KJongsun } and { KJenwei }} , journal={{IEEE Transactions on Electron Devices}} 52 , year={2005} } @incollection{b231, , title={{CDMA/FDMA-interconnects for future ULSI communications}} , author={{ MFChang }} , booktitle={{IEEE/ACM International Conference on Computer-Aided Design (ICCAD)}} , year={2005} } @incollection{b232, , title={{CMP network-on-chip overlaid with multi-band RF-interconnect}} , author={{ MFChang } and { JCong } and { AKaplan } and { MNaik } and { GReinman } and { ESocher }} , booktitle={{14th International Symposium on High Performance Computer Architecture (HPCA)}} , year={2008} } @incollection{b233, , title={{A simultaneous tri-band on-chip RFinterconnect for future network-on-chip}} , author={{ S.-WTam } and { ESocher } and { AWong } and { MC FChang }} , booktitle={{Symposium on VLSI Circuits -Digest of Technical Papers}} , year={2009} } @incollection{b234, , title={{Wave Propagation Mechanisms for Intra-Chip Communications}} , author={{ YLiping } and { GWHanson }} , journal={{IEEE Transactions on Antennas and Propagation}} 57 , year={2009} } @incollection{b235, , title={{An OFDMA based RF interconnect for massive multi-core processors}} , author={{ EUnlu } and { MHamieh } and { CMoy } and { MAriaudo } and { YLouet } and { FDrillet }} , booktitle={{8th IEEE/ACM International Symposium on Networks-on-Chip}} , year={2014} } @incollection{b236, , title={{Flexible Radio Interface for NoC RF-Interconnect}} , author={{ FDrillet } and { MHamieh } and { LZerioul } and { ABriere } and { EUnlu } and { MAriaudo }} , booktitle={{17th Euromicro Conference on Digital System Design}} , year={2014} } @incollection{b237, , title={{Sizing of the physical layer of a RF intra-chip communications}} , author={{ MHamieh } and { MAriaudo } and { SQuintanel } and { YLouet }} , booktitle={{21st IEEE International Conference on Electronics, Circuits and Systems (ICECS)}} , year={2014} } @incollection{b238, , title={{A Dynamically Reconfigurable RF NoC for Many-Core}} , author={{ ABrière } and { JDenoulet } and { APinna } and { BGranado } and { FPêcheux } and { EUnlu }} , booktitle={{Proceedings of the 25th edition on Great Lakes Symposium on VLSI}} the 25th edition on Great Lakes Symposium on VLSIPittsburgh, Pennsylvania, USA , year={2015} } @incollection{b239, , title={{Performance of inter-chip RF-interconnect using CPW, capacitive coupler, and UWB transceiver}} , author={{ MSun } and { YPZhang }} , journal={{IEEE Transactions on Microwave Theory and Techniques}} 53 , year={2005} } @incollection{b240, , title={{Low-Power, High-Speed Transceivers for Network-on-Chip Communication}} , author={{ DSchinkel } and { EMensink } and { EA MKlumperink } and { EVan Tuijl } and { BNauta }} , booktitle={{IEEE Transactions on Very Large Scale Integration (VLSI) Systems}} , year={2009} 17 } @incollection{b241, , title={{A 12-mW 40-60-GHz 0.18-µm BiCMOS Oscillator-Less Self-Demodulator for Short-Range Software-Defined Transceivers}} , author={{ YXiaoPeng } and { LHao } and { HYu } and { LWeiMeng } and { DErTai } and { YKiatSeng }} , journal={{IEEE Journal on Emerging and Selected Topics in Circuits and Systems}} 3 , year={2013} } @incollection{b242, , title={{Analysis of Noncoherent ASK Modulation-Based RF-Interconnect for Memory Interface}} , author={{ KYanghyo } and { TSai-Wang } and { BGyung-Su } and { WHao } and { NLan } and { GReinman }} , journal={{IEEE Journal on Emerging and Selected Topics in Circuits and Systems}} 2 , year={2012} } @incollection{b243, , title={{RF-Interconnect Resource Assignment and Placement Algorithms in Application Specific ICs to Improve Performance and Reduce Routing Congestion}} , author={{ BPourshirazi } and { AJahanian }} , booktitle={{15th Euromicro Conference on Digital System Design (DSD)}} , year={2012} } @incollection{b244, , title={{An Energy-Efficient Reconfigurable NoC Architecture with RF-Interconnects}} , author={{ MBeigi } and { FSafaei } and { BPourshirazi }} , booktitle={{16th Euromicro Conference on Digital System Design (DSD)}} , year={2013} } @incollection{b245, , title={{High performance hybrid NoCs design with wireless/RF-I}} , author={{ CXiao } and { ZHuang } and { DLi }} , booktitle={{International Conference on Mechatronic Sciences}} , year={2013} Electric Engineering and Computer (MEC } @incollection{b246, , title={{Performance evaluation of air-gap-based coaxial RF TSV for 3D NoC}} , author={{ LYu } and { HYang } and { JZhang } and { WWang }} , booktitle={{IEEE/IFIP 19th International Conference on VLSI and System-on-Chip (VLSI-SoC)}} , year={2011} } @incollection{b247, , title={{Carbon Nanotubes as Optical Antennae}} , author={{ KKempa } and { JRybczynski } and { ZHuang } and { KGregorczyk } and { AVidan } and { BKimball }} , journal={{Advanced Materials}} 19 , year={2007} } @book{b248, , title={{Carbon Nanotube Based VLSI Interconnects : Analysis and Design}} , author={{ BKKaushik } and { MKMajumder }} , year={2015} , publisher={Springer} , address={India} } @incollection{b249, , title={{Highperformance interconnects: an integration 248}} , author={{ RHHavemann } and { JAHutchby ; C. Brun } and { PFranck } and { PCoquet } and { DBaillargeat } and { BKTay }} , booktitle={{IEEE MTT-S International Microwave Symposium Digest (IMS)}} , year={2013} , note={Monopole antenna based on carbon nanotubes} } @book{b250, , title={{Carbon nanostructures dedicated to RF interconnect management}} , author={{ CBrun } and { DBaillargeat } and { YCChong } and { DTan } and { PCoquet } and { BKTay }} 44 } @book{b251, , title={{European Microwave Conference}} , year={2014} } @incollection{b252, , title={{State-of-the-Art Graphene High-Frequency Electronics}} , author={{ YWu } and { KAJenkins } and { AValdes-Garcia } and { DBFarmer } and { YZhu } and { AABol }} , journal={{Nano Letters}} 12 , year={2012/06/13 2012} } @incollection{b253, , title={{Graphenebased nano-patch antenna for terahertz radiation}} , author={{ ILlatser } and { CKremers } and { ACabellos-Aparicio } and { JMJornet } and { EAlarcón } and { DNChigrin }} , booktitle={{Photonics and Nanostructures -Fundamentals and Applications}} , year={10// 2012} 10 } @incollection{b254, , title={{Graphene-based Plasmonic Nano-Antenna for Terahertz Band Communication in Nanonetworks}} , author={{ JMJornet } and { IFAkyildiz }} , journal={{IEEE Journal on Selected Areas in Communications}} 31 , year={2013} } @incollection{b255, , title={{Initial MAC Exploration for Graphene-enabled Wireless Networks-on-Chip}} , author={{ GPiro } and { SAbadal } and { AMestres } and { EAlarc } and { JSol-Pareta } and { LAGrieco }} , booktitle={{Proceedings of ACM The First Annual International Conference on Nanoscale Computing and Communication}} ACM The First Annual International Conference on Nanoscale Computing and CommunicationAtlanta, GA, USA , year={2007} } @incollection{b256, , title={{Graphene-enabled wireless communication for massive multicore architectures}} , author={{ SAbadal } and { EAlarco } and { ACabellos-Aparicio } and { MCLemme } and { MNemirovsky }} , journal={{IEEE Communications Magazine}} 51 , year={2013} } @book{b257, , title={{Samsung funds graphene antenna project for wireless, ultra-fast intra-chip links}} , author={{ JHewitt }} , year={2013} } @incollection{b258, , title={{Evaluating the Feasibility of Wireless Networks-on-Chip Enabled by Graphene}} , author={{ SAbadal } and { AMestres } and { MIannazzo } and { JSol-Pareta } and { EAlarc } and { ACabellos-Aparicio }} , booktitle={{Proceedings of the 2014 International Workshop on Network on Chip Architectures (NoCArc)}} the 2014 International Workshop on Network on Chip Architectures (NoCArc)Cambridge, United Kingdom , year={2014} } @incollection{b259, , title={{Integrating Novel Packaging Technologies for Large Scale Computer Systems}} , author={{ JMitchell } and { JCunningham } and { AVKrishnamoorthy } and { RDrost } and { RHo }} , booktitle={{InterPACK Conference collocated with the ASME}} , year={2009} }