An Extansive Review of Emerging Technology Networks-on-Chip Proposals

Table of contents

1. I. INTRODUCTION

Etworks-on-chip are a widely used technology within recent systems-on-chip (SoC) and chipmulti-processors (CMP) [1]. However, several emerging technology NoC are proposed as a potential replacement for classical NoC [2,3]. To this aim, several research works have focused on the design of emerging technology NoC. The research in this particular field is further developed because the fabrication processes of integrated circuits are also evolving. Unfortunately, the literature for emerging technology NoC is scattered and is not extensively reported [4,5].

In this paper, the lack of a global review of the emerging technology NoC research is addressed. An extensive review of the available literature regarding emerging technology NoC is executed, resulting on the analysis of more than 200 papers. Additionally, the available surveys and textbooks in the NoC domain are reported. The analyzed research papers are exposed chronologically. This will help to answer to the questions of when and how the concept was introduced, what is its present state and what the future directions are. To organize the survey, we categorize the available research and contributions for emerging technology NoC as it is shown in Figure 1. Our approach is a technology?based approach. efforts in the literature were built around such technology. The research community has explored this lead very well. However, the most significant result, is in the industrialization of the NoC concept, for both sides, providers of NoC solutions [6][7][8] and SoCs/CMPs vendors [9][10][11][12].

? Emerging technology NoC are a direct result from exploiting any valuable advances from silicon industry. Usually, the research efforts regarding emerging technology NoC are divided in four major categories, which are 3D NoC, Optical NoC (ONoC

The remaining of the manuscript is organized as the following: we briefly recall and classify the available surveys and textbook in the second paragraph. In the third paragraph, we review the emerging technology NoC literature subdivided in terms of principal research axis while in the fourth paragraph we conclude the manuscript.

II.

2. Related Surveys And Textbooks

For the last fifteen years, many surveying works had enriched the NoC literature. Such synthesis papers constitute a solid backbone for present and future research as well as for this work. Through this paragraph, we tried to collect and expose these works as the literature lacks such collection. This is also an interesting aid for new NoC researchers as it could shorten their state-of-the-art investigation exercise. III.

3. EMERGING TECHNOLOGY NOC PROPOSALS a) 3D NoC

A natural evolution in the NoC paradigm is the transition from 2D to 3D NoC. As the integration technology is evolving, many 3D techniques are developed allowing the stacking of multiple dies with numerous processing elements and memories. The connection between these dies is physically assured by interconnect techniques such as wire-bounding, microbumps, TSVs and lately wireless capacitive-and inductive-coupling [64]. These interconnects fabrics are shifting from simple point to point connections to more developed networks that link at the same time between the die elements and the dies from different layers, called 3D NoC. Several comparative studies between 2D and 3D NoC are available [58,[64][65][66]. The research in this area is very mature compared to the other emerging technology NoC. In fact, we can find in the literature many established works where the efforts have evolved from simple architectural dimensioning to highlevel abstraction, routing algorithms, fault tolerance and resolving physical related problems like the TSVs failures or the thermal overheads. In the following, a distillation of the recent works is provided.

4. i. Fault tolerant and reliable 3D NoC

3D NoC offer a better area-performance ratio compared to 2D NoC thanks to the third dimension. However, this has triggered additional issues. Among them, we can find the containing of the TSVs number and the design of more low-power architectures. Hence, the reliability of 3D NoC is more challenging and by consequence is heavily investigated in academia. Recently, Jiang and Xu surveyed the works as regards fault?tolerant 3D NoC architectures [4]. The authors provided an extensive classification of defects and fault models. A listing of the existing fault-aware routing algorithms as well as a comparative study are also provided. In this section, some of the studied proposal in [4]are considered. In addition, the more recent proposals that are not studied by Jiang and Xuare also discussed.

Researchers targeted the deadlock freedom of 3D NoC. For example, Ben Ahmed and Ben Abdallah proposed an efficient fault?tolerant and deadlock free algorithm for 3D NoC called Hybrid-Look-Ahead-Fault-Tolerant (HLAFT) [67]. The presented algorithm showed better results than XYZ algorithm and its predecessor called LAFT [68].

Dubois et al. [69]and Somas undaram et al. [70] respectively developed two other similar works. The first one is an algorithm called Elevator-First. It is a distributed algorithm with deadlock-and live lockfreedom capabilities. It is also applicable to both 2D and 3D partially connected architectures. The second one is a deadlock-free algorithm and when combined to a 3D NoC topology developed by the same team, outperforms the proposition of the first work [69].

Lee et al. in their turn [71], attempted to enhance the Elevator-First approach from [69]. The authors maintained the performances of the Elevator-First algorithm with the elimination of virtual channels, which is a very area-saving maneuver. More recently and also based on the Elevator-First algorithm, Lee et al. developed a new energy-efficient and deadlock-free algorithm for 3D NoC called Redelf [72]. The evaluation results showed that the proposed algorithm acted better than the basic Elevator-first in terms of energy consumption and latency.

Ebrahimi et al. established a similar approach [73]. The authors developed a fault-tolerant routing algorithm for 2D and 3D NoC using the advantages of the Hamiltonian path. NaghibiJouybari and Mohammadi, in their turn, developed another fault tolerant algorithm for 3D mesh NoC [74]. The authors proposed FT-DyXYZ, a fault tolerant adaptive routing algorithm that was able to avoid permanent faulty links. energy-efficient algorithm for TSV-based 3D NoC called FT-Z-OE [75]. Finally yet importantly, some of the other efforts focused on developing innovative 3D NoC architectures. As an example, Ben Ahmed et al. presented in [67]a 3D NoC router architecture called 3D-Fault-Tolerant-OASIS while Marcon et al. developed a lightweight and fault?tolerant 3D NoC architecture called Tiny NoC [76].

ii. Novel 3D NoC architectures To bypass the limitations caused by TSVs, other research efforts explored wireless capacitive-and inductive-coupling between the stacked dies [77]. The difference between the two technologies is that for capacitive-coupling only two dies or chips can be connected in a face-to-face fashion. However, with inductive-coupling, many dies can be stacked together. Take et al. [78]and Miura et al. [79]respectively proposed two 3D NoC architectures called Cube-0 and Cube-1. The communication between the different layered chips was assured by inductive-coupling links that are organized in a ring topology. These architectures are also reported as 3D wireless NoC (3D WiNoC) in the literature [77].

Later, several extended studies related to 3D NoC architectures with wireless vertical links were conducted. Matsutani et al. executed in [80]a routing and topology design space exploration. The authors used spanning tree optimizations to reduce the hop count of the network. Zhang et al. developed in [81]an optimized power management scheme. The authors managed to dynamically activate and deactivate the supply power for a portion of the vertical links. The two studies demonstrated that a good balance between the proposed power management technique and the spanning tree optimizations achieved good performances at a reasonable power cost.

Recently, Matsutani et al. investigated the use of an extra NoC layer with a random or mesh topology to enhance the performances of 3D ICs [82]. Two approaches were developed. The first one targeted NoC-less 3D ICs while the second one targeted NoCbased 3D ICs. The authors experimented several configurations scenarios. Several combinations between four vertically-layered ICs with all-mesh, all-random or mixed topologies NoC were benchmarked. The simulation results showed that such configurations could reduce the latency of the transferred packets especially when random topologies were considered. It was also demonstrated that one or two NoC layers with a random topology are sufficient to reduce the packets latency [82].

The latter works have shown a very interesting economic potential with the 3D wireless NoC architectures. Rather than integrating components in a single chip like for SoCs, the required IPs can be vertically stacked on multiple chips equipped with inductive coupled links in a System-in-Package (SiP). As a direct consequence, many chip combinations can be established with legacy designs at moderate design costs.

Finally, other esoteric studies were also developed. For example, Elmiligi et al. used a genetic algorithm to build 3D mesh NoC with reduced power consumption from a given application [83]. The algorithm was tested and validated in an architecture of 32 microprocessors. Daneshtalab et al. presented a novel methodology to reorder request packets without the need of the two conventional solutions: the dimension?order routing by limiting the packet to use the same path that is performance degrading, or the packets reordering inside the network interfaces that is area expensive [84].

5. iii. Design space exploration for 3D NoC

To tackle the several challenges of 3D NoC, a design space exploration of the 3D NoC-based designs is important. This goes through the developing of analytical models for 3D NoC components as well as for common defects and faults. Khayambashi et al. studied the impact of the TSVs' failures on the reliability of 3D NoC [85]. This allows a more accurate estimation of the area overheads versus the performance gains from the use of TSVs in the design. Jiang et al. proposed the resolution of the latter compromise at higher levels of abstractions [86]. The authors developed an adaptive algorithm that can provide low latency and low power without increasing the TSVs number. This solution maintained a reduced TSVs number for larger 3D NoCbased designs. Ying et al. [87], in their turn, developed a task allocation method for 3D NoC with limited number of TSVs. The proposed method was contrasted with prior methods such as the genetic algorithm and the simulated annealing.

Ebrahimi et al. explored several partitioning methods of multicast communications in 3D mesh NoC in addition of developing analytical models for each method [88]. They came with a conclusion that among the applied methods, the recursive method was the productive one. A similar approach was proposed by Meena et al. called 3D-RPM for 3D recursive partitioning multicast routing algorithm [89].

Lastly, Dahir et al. discussed the reduction of the 3D NoC temperature [90]. The authors alleviated this challenge from the application layer. The authors used a runtime thermal management technique called DPN to moderate the traffic flow in the network, hence assuring a moderate silicon temperature.

performance by power consumption ratio among other important benefits. Optical interconnects have been imagined for ICs in very earlier studies such as in these works [91,92]. At the same time, many efforts showed that in the transistor scale, the integration of basic optical components in CMOS technology, such as laser sources [93,94], modulators [95], on?chip waveguides [96], and finally detectors [97], was feasible. Moreover, basic functionalities such as buffers [98]and opticalbased logic functions [99]were experimented. These discoveries have not only triggered the research and the exploration of optical interconnects, but also proved their positive potential for an eventual commercialization [100].

Earlier established studies by Haurylauet al. in [101], Chen et al. in [102], and Petracca et al. in [103], discussed the performances that might emanate from CMOS compatible optical components. Depending on the available or the projected technology, the authors also contrasted the optical interconnect performances with their electrical counterparts to demonstrate the electrical interconnect limitations in the future.

i

6. . All-optical NoC

To the best of our knowledge, Kirman et al. presented the first attempt to develop an on-chip optical network [104]. The authors designed a ring-based topology optical NoC where the network consisted of an optical ring or loop on which four switches are connected. Each switch managed a set of IPs such as memory controllers or L2 caches. The results showed performance enhancements in terms of latency for the proposed architecture, a four-node opto-electrical buses, compared to its pure electrical counterparts especially when two or more distinct wavelengths were used.

ATAC was also another alternative to build optically interconnected many-core processors chips [105]. The proposed architecture utilized an on-chip optical network to connect 64 clusters for 1024 cores. Compared to a similar electrically-interconnected 1024 cores architecture, ATAC succeeded on 39 % of speedup [106,107].

Shacham and Bergman executed another attempt in the field [108]. The authors presented an optical interconnect called SPINet. The experimental architecture of SPINet is a 2x2 switching node that exploited wavelength division multiplexing (WDM) for a total bandwidth up to 160 Gb/s (16 wavelengths x 10 Gb/s link). As an experimental setup, the authors tested a four nodes architecture to demonstrate the SPINet capabilities. Later, a second demonstration with six SPINet nodes was described [109].

Vantrease et al. proposed a 3D optical network called Corona [110]. The optical interconnect was used to link up to 64 clusters composed essentially by four cores with a shared L2 cache and where on top of them were placed optically connected memories (OCMs). The optical interconnect was a global broadcast optical bus where all the clusters were connected. Corona showed high performances of 10 Tb/s for OCMs and 20 Tb/s for the clusters' cores. However, the separation between the optical interconnect, the clusters and the OCMs omitted the scalability problems. This is one of the most important challenges for ONoC. Vantrease et al. developed a related work to Corona consisting of an optical arbitration mechanism to maximize the channels utilization of optical interconnects [111].Moreover, Hendry et al. tackled in [112]the posed problems by a circuit?switching ONoC such as in [108]. The authors started from the results of an earlier established analysis [113]. After, they proposed a TDM arbitration scheme to replace the electrical parts, which were responsible of the network resources allocation.

Similarly, Biberman et al. developed an electrooptical switch architecture with up to 40 Gb/s data transfer rate [114]. Furthermore, a multi layered version, based on the previous switch architecture, was presented by the same team [115]. In addition of the CMOS compatibility and the high scalability of the architecture, the authors demonstrated the usefulness of such switch fabric in the case of data-centers. The switch architecture consisted of 256x256 non-blocking ports for a 51.2 Tb/s bisection bandwidth capable of linking up to 2560 server racks.

As conventional processors were scaling to many-core systems with higher bandwidth networked memories (usually DRAMs) [116], many solutions have emerged not only to alleviate such demands but also with energy efficiency considerations. In [117] and [118], and later extended in [119], the authors presented a monolithic processor-memory network architecture based on photonic technology. The architecture used dense wavelength-division multiplexing (DWDM) in local meshes to global switches (LMGS) ring matrix topology. Up to 256 cores could be connected to 16 DRAM modules for an improved throughput of 8 to 10 times compared to an aggressive purely electrical network.

Joshi et al. developed another related LMGS architecture that was organized on an U-like shape [120]. This architecture showed a less power consumption for thermal tuning circuits but suffered from higher power losses in the waveguides and the end-to-end through.

Beamer et al. [121]proposed a novel photonically interconnected DRAM (PIDRAM) architecture based on a previous design that has been described earlier in [117]. Major enhancements were high per?pin bandwidth with on-and off-chip energy savings, compared to equivalent, even future, pure electrical counterparts. More details for the design of on-demonstrated that Clos networks had a smaller power and area impacts compared to a baseline NoC or to a photonic NoC with global crossbars (centralized and distributed). In addition, the Clos photonic network maintained a uniform latency and throughput thanks to its extensive path diversity. The Clos network was also used to design a buffer less photonic Clos-based NoC called BLOCON [125]. A contention-free algorithm called Sustained and Informed Dual Round-Robin Matching (SIDRRM) along with a path allocation scheme named Distributed and Informed Path Allocation (DIPA) were used as routing mechanisms for the proposed architecture. Similarly, Koohi and Hessabi developed a contention-free all?optical routing method called CoNoC [126]. An extended version of the developed efforts with CoNoC is available here [127].

The research on the field of pure photonic point?to?point interconnects for on-chip communications had continually evolved. The proposals that we have already discussed like the Cornell ring architecture [104], the MIT ATAC [105], the Columbia SPINet [108] and Corona [110] constitute a foundation to the following works.

Pan et al. proposed a nanophotonic architecture called Firefly [128]. The authors combined electrically connected nodes (organized by clusters of four nodes) with a global photonic wave-guide for intraclusters communications. For a more energy efficiency, a reservation-assisted single-write-multi-read (SWMR) design was implemented. Initially, all the network receivers are disabled. To send a packet, the router broadcasts a packet with the destination and the control information. Hence, only the receiving router is activated. Overall, the Firefly architecture resulted on performances better than the state-of-the-art all-optical or all-electrical architectures.

Later, the same authors tackled a very common challenge in optical NoC that is the reduction of the static power consumption [129]. They presented Flexi Share: a crossbar architecture with minimum but globally shared channels for all the nodes. Although such architecture seemed to add more energy constraints due to the design complexity, the energy gain was perceptible due to the reduction of the channels' number with their static power overhead.

Morris and Kodi investigated on their turn the feasibility of a 64 cores ONoC called PROPEL and its extended version E-PROPEL for up to 256 cores architecture [130]. The two versions were confronted to Batten et al. [117] and Vantrease et al. [110]proposals, at an equal number of wavelengths. The comparison was discussed in terms of hardware complexity with metrics such as the number of waveguides, microring resonators and photodetectors. The power loss and the optical/electrical areas were also considered. The comparative study also compared the proposed ONoC architecture with a conventional mesh NoC in terms of the obtained throughput and power consumption.

Xue et al. [131]studied another optimistic approach. The authors presented a 3D optical interconnect using free-space optics. This type of propagation medium offered a speed of light propagation with a small energy loss. However, this technique relied on the technological advancements of optical components like micro-lenses and micro-mirrors. In addition, to demonstrate the feasibility of their design, the authors conducted a study related to newlydeveloped or emerging devices, circuits, and optical dedicated technologies. A prototype in relation with this study was also fabricated [132].

In the last 3 years, many ONoC architectures have emerged. Among them, we can find the Olympic ONoC [133]. A full-optical ring topology NoC where local and global rings were used to mitigate the low latency versus the high-energy consumption problem.

Luminoc was another all-optical mesh topology NoC that took advantages from MWSR (multiple write single read) arbitration method and from point-to-point connection for data transmission [134]. Simulation results showed that Luminoc acted better than electrical mesh NoC and the Clos architecture from [124]. However, such topology still depends on technology integration of dense optical channels on a silicon chip. Recently, Kakoulli et al. considered the integration of optical components in silica to develop a comparable approach to Luminoc in terms of performances [135]. Unlike Luminoc, the P-sync proposal was a shared-bus based architecture that used Photonic Synchronous Coalesced Access Network (PSCAN) [136]. The full architecture targeted long range interconnect applications that require non-local data accesses such as the distributed Fast-Fourier Transform (FFT).

Zulfiqar et al. studied in [137]the impact of the point-to-point and shared channels for optical interconnects for a fixed laser power budget. The authors pointed the static power consumption of ONoC in particular the laser sources that were the major consumers. As a solution, they estimated an ideal sharing degree in function of the optimal sharing gain and proposed wavelength stealing. The proposed solution used the same topology as for point-to-point networks with N2 channels. Each channel had at least two nodes, the owner and one or more stealers. Evaluation results demonstrated that such architecture provided between 20 and 23 % energy-delay product (EDP) improvement compared to point-to-point topology.

Similarly, PROBE was another alternative to diminish the static power consumption of ONoC [138]. The authors proposed the use of tunable splitters Year 2017 F An Extansive Review of Emerging Technology Networks-on-Chip Proposals instead of the passive power-inefficient ones. The authors used the tunable splitters to scale the available bandwidth dynamically. For an accurate scaling of the bandwidth, a prediction evaluation was computed from the link and the buffer utilizations of every output port.

At a higher level of abstraction, Chao et al. tried to alleviate the challenges of the laser power consumption [139]. Their proposition was to split the energy budget among the network buses based on a weighted time?division?multiplexing scheme. The TDM mechanism was based on the instantaneous bandwidth requirements of the running applications. Later by 2014, the same authors proposed a methodology for the placement and the sharing of on-chip laser sources for an optimal and high energy-efficient use [140]. Additionally, the last study included a relevant state-ofthe-art synthesis for ONoC research axis. In the same way, Heck and Bowers conducted a study to demonstrate that on-chip laser sources can increase the source efficiency and the energy consumption compared to off-chip laser sources due to the modularity they offer and because of the absence of coupling losses. [141]. Pintus et al. unveiled in [142]a novel all-optical and ring?based ONoC architecture. Recently, Gambini et al. detailed the prototyping of the same ONoC in silicon [143]. The ONoC was centralized on a principal ring coupled to multiple local micro-rings. The authors provided simulation scenarios for both performances and fabrication tolerances with a siliconon-isolator (SOI) technology platform.

Network interfaces (NIs) were at the heart of the study presented by Ortín-Obón et al. [144]. The authors discussed the lack of the research in the NI level in ONoC in spite of its important participation for the data transfer of an optical on-chip network. The authors proposed the design of a complete NI architecture for wavelength routed ONoC. They also demonstrated that to maintain an acceptable bandwidth with realistic power budget, 3-to 4-bits parallelism should be applied. Less than 3-bits parallelism results on a very low bandwidth while more than 4-bits parallelism results on poor power behavior in particular with the static power consumption.

Two other all-optical wavelength-routed NoC proposals were developed by Koohi and Hessabi in [145] and [146], respectively. The first one, called 2D-HERT used a novel topology based on a passive routing with wavelength-routed optical switches (WaROS). The obtained results showed a reduced energy consumption and a lower data-transmission average delay compared to a baseline ONoC as well as to a classical NoC with torus topology. The second all-optical architecture was called Power-efficient Scalable Wavelength-routed Network-On-Chip (PeSWaN). The proposed ONoC had the ability of a controlled scaling. In fact, the implemented control mechanism of PeSWaN used N/4 control units for a total number of N nodes along with contention-free switching data circulation.

Hamedani et al. developed a similar architecture[147], namely QuT. The QuT proposal was based on a ring topology constructed with microring resonators in addition of strategically placed shortcuts.

Compared to a baseline ONoC such as Corona [110], QuT had smaller insertion losses but more microring resonators. Compared to CoNoC [127], QuT had a smaller number of microring resonators but more insertion losses. Overall, QuT offered a balanced power and energy consumption for a better scalability than Corona and CoNoC.

Similar to QuT, Werner et al. proposed an ONoC based on a mesh-like topology called Amon [148]. The proposed architecture outperforms QuT and several other ONoC. With a limited overhead in the waveguides area, Amon offered a better power consumption as well as a lower area consumption for the microrings area while maintaining similar performances.

Wu et al. [2014] presented SUOR [149]. The authors designed a cluster organized ONoC for up to 256 cores. Dedicated clusters called cluster agent (CA) assured the flow control and the data channels set?up. Simulation results showed a more reduced EDP compared to Corona [110] and Flexi Share [129].

Last but not least, Xiaolu proposed a ring?based packet-switched ONoC called RPNoC [150]. The proposed architecture showed a better energy/bit consumption compared to an optical NoC with a mesh topology, and an electrical NoC with mesh or ring topologies. RPNoC also had a higher packet throughput and a lower latency compared to the aforementioned NoC.

A common practice for hybrid emerging technology NoC was the combination of a local electric baseline NoC or some of its components with a global optical one. The Phastlane architecture was an example of such heterogeneity [151]. The authors tackled the contention that might occur in optical NoC with electrical buffers in a manner that the received packets could be electrically-buffered or dropped then retransmitted.

Ye et al. proposed a hybrid electric-optical router to build a torus topology based ONoC [152]. The proposition called THOE was composed of clusters (4 cores per cluster with electrical interconnections) connected with an optical network with, full-optical, circuit-switching capabilities. THOE showed not only better power consumption compared with a classical NoC with the same topology but also better resource usage compared to baseline ONoC such as the torus network [153] and Corona [110].

Meteor was another hybrid optical-electrical NoC [154]. The architecture coupled an optical ring-Year 2017 F An Extansive Review of Emerging Technology Networks-on-Chip Proposals shaped waveguide with 2D mesh electrical NoC. This architecture offered a more simplified optical network with its power efficiency and reduced latency combined to a full-distributed 2D electrical mesh. METEOR offered an interesting modularity with its Photonic Region of Influence (PRI) in a way that the optical waveguide could ii.

Hybrid-optical NoC connect a set of cores' islands from 2 to up 36 cores.

When compared to the state-of-the-art ONoC such as Corona [110]., Firefly [128], the optical mesh from [153]and a 2D mesh equivalent NoC, METEOR had a reduced power consumption and EDP with a simpler photonic architecture.

Close to the Meteor proposal, Grani and Bartolini established another effort [155]. An optical ring NoC in combination or not with a conventional NoC was studied in terms of power consumption and latency. Many simulation scenarios were provided including diverse arbitration strategies along with the possibility of the variation of the optical ring numbers.

Fu et al. developed a similar electrical-optical NoC architecture, namely, HEON [156]. The authors tackled long electrical wires with a global optical one in a serpentine shape to link the distant cores. They also used a dynamic power strategy capable of dynamically managing the network components. Simulation results showed that HEON had more than 43 % EDP gain compared to a baseline NoC and ONoC, respectively.

In [157], Tan et al. described a hybrid locallyelectrical and globally-optical connected architecture (HONoC). The architecture was organized in a BFTbased topology. HONoC relayed on hybrid routers to link between locally-electrical cores and globally-optical connected clusters. The latter were in their turn connected via a generic wavelength-routed optical router (GWOR) developed earlier by Xianfang et al. [158].Moreover, Büter et al. developed a controller called DCM for distributed channel management that was capable of switching between two different parallel NoC such as an ONoC and an electrical one [159]. The DCM was also able to reconfigure the same electrical NoC if reconfigurability was implemented. For a hybrid configuration, the authors used the two baseline ONoC architectures from [153] and [130] to validate their approach.

Finally yet importantly in the optical-electrical hybrid NoC direction, Balboni et al. [160] studied the internal interconnect fabric of general-purpose processor accelerators (GPPA). The authors addressed the global electrical NoC that serve to link processing elements on-and off-the chip with an optical one. The proposed hybrid network architecture was simulated for 1-to 4-bits parallelism. After that, it was compared to its pure electrical counterparts. Results showed an important overhead on static power, however in the dynamic energy domain the proposed hybrid ONoC was more efficient.

As another direction for hybrid emerging technology NoC, 3D optical NoC were developed. Ye et al. utilized two superposed layers, an optical layer and an electrical one [161]. The optical upper layer was used to transfer data packets while the bottom electrical layer handled the control packets as well as controlling signals to the optical switches. The communication between the two layers was assured with vertical TSVs.

Similarly, Le Beux et al. proposed the exploitation of the low power and latency advantages of an additional optical ring NoC (ORNoC) layer to connect multiple conventional NoC-based layers in a 3D fashion [162]. The proposition also provided guidelines for an optimal placement of the NoC layers and the TSVs as the distance separating the upper ORNoC layer from the lowest NoC ones could be considerable. Moreover, Qing et [163] presented a comparative study between 3D ONoC and 2D ONoC. After developing the 3D ONoC router model, they demonstrated that 3D ONoC alleviated 2D ONoC related problems such as long interconnects. They also provided better results in terms of the delay and the packets losses.

No efforts related to ONoC we cited above would be achievable if the research community did not execute a rigorous design space exploration [1]. In the following we cite our findings in terms of design space exploration, analysis and tools related to optical interconnects.

Hendry et al. initiated the analysis of photonic networks through a developed model for omnet++ tool [113]. Many simulation scenarios with diverse loads and topologies were executed. Later, Chan et al. [164] investigated several tools and design methodologies. The authors developed an extensive study as regards ONoC [165]using a dedicated ONoC tool called Phoenix Sim [166]. The same team explored the physical layer of ONoC. A variety of the basic components for ONoC was modeled [167]. More recently, Abadal et al. studied ONoC in terms of area and energy scalability [2].

7. From a topology perspective, Faralli et al. investigated the performances of bus-and ring-based

ONoC [171]. The authors contrasted the performances of the ring-based ONoC developed in [143]with a busbased one. The comparative study was proceeded at Year 2017 F An Extansive Review of Emerging Technology Networks-on-Chip Proposals the physical layer with real prototypes. The obtained results showed that the performances of ring-and busbased ONoC are comparable in worst-case scenarios. However, if interferences could be avoided, the ringbased ONoC outperforms the bus-based one.

As optical component are thermally sensitive and by consequence can degrade the system performances, thermal management of ONoC is a research direction of a huge interest. To remedy to the The industry was also involved on the design space exploration of optical NoC [168] while Koka et al. presented an earlier proposition [169]. The authors conducted an extensive comparative study between many baseline ONoC architectures. The studied architectures were token ring, point-to-point, limited point-to-point, circuit switched and two-phase with two ramifications. The simulation results of the considered 8x8 microchip developed by Krishnamoorthy et al. demonstrated that the point-to-point optical network showed an interesting EDP [170].

iii. Design space exploration for Optical-Photonic NoC proposed to localize the hotspots on the targeted platform with an accurate simulation [172]. Based on the latter results, a new task allocation scheme was deployed to contain the temperature of the network modulators and filters while maintaining the bandwidth full-availability.

Since PhoenixSim, many other DSE tools have emerged such as VANDAL [173], DSENT [174] and PROTON [175]. More recently, other tools were developed such as CLAP [176], OTemp [177], PROTON+ [178] and the ONoC dedicated reliabilityaware design flow in [179]. Additional information for ONoC dedicated tools are also available in this textbook [56] (Refer to the fourth chapter).

Even with the recent advancements on the technology integration of on-chip optical components [180], some recent studies putted the accent on the exploration of the physical layer. Mainly because the physical layer is a major bottleneck for an ONoC-based design. In this way, Ramini et al. studied the power behavior of wavelength routed ONoC (WRONoC) with multiple topology configurations [181]. The authors also demonstrated how a design could diverge from its highlevel initial expectations due to the place & route constraints. In addition, they pointed the lack of CAD dedicated tools for ONoC at the physical layer. A potential solution to this challenge were presented by Boos et al. [175], Ramini et al. [182] and recently [178]. Moreover, Ramini et al. questioned with respect, the viability of ONoC solutions and demonstrated how an aggressive conventional NoC outperformed its optical counterpart [183]. A key assumption on the last attempt was the consideration of an accurate modeling framework (AMF) instead of the common one (CMF). This led to a meticulous consideration of every design power parameter.

To conclude this paragraph, we cite some recent and very promising results obtained at the physical layer for optical on-chip components and networks. Qian et al. succeeded on integrating a quantum-dot light emitting diodes on a multilayer structures [180]. Qiang et al. designed and profiled a compact silicon optical switch based on the thermooptic effect instead of the usage of traditional microring resonators or Mach-Zehnder interferometers [184]. Lately, Wang et al. presented a complete ONoC integrated in Si-CMOS platform [185]. Similarly, Yang et al. presented a reconfigurable and non-blocking four port optical router [186]. The fabrication process as well as the measured performances results were reported.

The Hubeo+ project is also another promising work that was presented by Thonnart and Zid in [187]. In fact, a demonstrator of an optical networks-on-chip is planned for 2016 for up to 36 cores with a bandwidth up to 2 THz and a 20 W power consumption. The authors also claimed that by 2020 the expected performances will reach 4 THz for more than 72 cores with the same power consumption of 20 W. The targeted cores of the demonstrator were supposed to be microprocessors and memories. Finally, readers can find an updated and extensive listing of the available literature for inter-and intra-chip optical-networks in this online bibliography [188].

Wireless NoC can circumvent many of the persistent issues related to classical NoC. For example, WiNoC can tackle the correlated increase of the power consumption and the computation capacity or the on?chip long-range wires vulnerability [189]. As the integration technology matures, the research for WiNoC is evolving. In addition of the various feasibility studies that are elaborated by Ding et al. [190], Karim et al. [191]and Xinmin et al. [192], there are now various hardware prototypes which are developed [193,194]. According to many simulation scenarios [195], WiNoC have the best bandwidth by packet energy ratio among other emerging technology NoC. Moreover, WiNoC showed a very interesting scalability for 512 cores system size and more. This important scalability is offered with a limited area overhead due to the absence of wires [44]. Only RF-Interconnect or Optical NoC can compete with such capabilities, in some aspects. Ganguly et al. investigated the design methodologies, the technology specifications and the performance evaluation for WiNoC architectures [196] The wireless NoC architectures can be classified in two major categories. The first one is composed of many wired NoC clusters that connect a set of cores. Usually, they are organized in a mesh topology fashion. Each one of the sets communicates with the other ones through wireless shortcuts. The second one, called small-world wireless NoC, aims on developing a NoC with a balance between short local links and long range wireless links [45]. Hence, this approach alleviates the topology-inflexibility problems of the mesh-based WiNoC. The idea was inspired from natural networks such as the brain neurons networks or from macroscopic networks like the Internet and some social networks. Wang figured among these efforts [197]. The authors developed a WiNoC architecture based on CMOS ultra wide band (UWB) technology. Later, the same authors relied on the flexibility of the wireless nodes to rebuild as needed the network topology to meet the application constraints [198]. An unfeasible operation with wired nodes without considerable area overheads. Moreover, a limited set of wired links are used to control the iv. Final remarks c) Wireless NoC i. Mesh-topology based wireless NoC wireless nodes and so leaving 100 % of the wireless link bandwidth to data transportation. When the topology is fixed, a location-based routing (LBR) is used to circulate the data among the nodes.

8. Global

An additional impact of topology rebuilding was the possibility of multi-channeling in a way that a node can broadcast the same data to many other nodes, hence increasing the network throughput. Moreover, deadlock avoidance capability was implemented to maximize the determinism and the reliability of the proposed architecture. The enhanced WiNoC architecture was called multi-channel wireless NoC (McWiNoC).

Zhao and Ruizhe [199]presented an overlaid mesh topology where "big" wireless nodes with longrange multi-channel links were placed among "small" nodes with simple short-range links to the bigger ones. They also developed a network capacity model that was used to search the optimal topology configuration for the network. The followed methodology relied on a zone-aided routing algorithm to assure deadlock freedom for the data transfer without sacrificing the network efficiency [200]. The multi-channeling and arbitration methodology we cited above was later enhanced with DuSCA that stood for dual selection channel arbitration scheme. DuSCA was developed to arbitrate receiving and sending conflicts at the receiver and the transmitter sides with a static channel assignment scheme [201].Lately, Ruizhe and Zhao proposed a more developed arbitration scheme [202]. The authors targeted conflicts that might occur from static channel assignments when many senders solicited the same receiver simultaneously. The authors presented a load adaptive multi-channel arbitration mechanism to alleviate DuSCA limitations and eliminated the contention problems.

Lee et al. in their turn, proposed a centralized single transmitting antenna to multiple receiving antennas WiNoC architecture, namely WCube [203]. The architecture can achieve 20% to 40% latency gain with less or comparable 2D mesh network for 1024 cores. Hanhua et al. developed in this work [204]an algorithm called APW that resolved some limitations of the WCube architecture [203]. The addressed limitations were the poor scalability of WCube and its dependency to its recursive topology that is necessary to avoid deadlock issues. The proposed APW algorithm and its ramification DAPW were deadlock?free and guaranteed the reachability of partial network structures. The scalability problem was resolved by an incremental interconnection structure called IWCube that allowed the construction of the network without maintaining the complete topology of WCube.

Chifeng et al. developed a similar architecture called WNoC [205]. The network was based on a 2D mesh wired NoC called Network-based Processor Array (NePA) divided on equal islands. Each one of the island had a wireless router to assure wireless communication with the rest of the islands. DiTomaso et al. developed an architecture called iWise [206]. The network was organized under 16 clusters to where four cores are attached. Clusters can communicate using high bandwidth wireless link for a one-hop 64 cores' system and expandable to 256 cores for a total of three-hop count. The same team presented later another WiNoC architecture called A-WiNoC that can increase the bandwidth in hotspots, locally and globally, using local and global controllers [207].

Recently, Dai et al. proposed a hybrid WiNoC architecture [208]. The considered 8x8 mesh-topology is composed of several subnets of four IPs with a star topology. Each one of the subnets had its proper wireless router and all the wireless routers are fullyconnected. The authors adopted a multicast scheme for the data transmission. However, an energy-efficient technique was proposed. The authors used the power gating technique to deactivate the wireless routers that are not involved on the multicast transmission. Although this architecture introduced several new techniques, the considered topology is inflexible and is not suitable for recent dense and heterogeneous designs.

More recently, Zhao et al. proposed an irregular and reconfigurable WiNoC architecture to alleviate the density and the heterogeneity problems of the recent designs [209]. The authors proposed an applicationaware placement of the wireless routers. Hence, an application-specific topology will be obtained. For a more efficient exploitation of this custom topology, the authors developed a new routing scheme called regionaided routing (RAR). The combination of the latter features led to better performances when compared to regular topologies WiNoC with table-driven or locationbased routing algorithms.

Small-world wireless NoC, which are the second type of WiNoC architectures, were explored and studied by Chang et al. [210] and Deb et al. [211], respectively. They proposed a WiNoC design called mm-wave small?world wireless NoC (mSWNoC). The mSWNoC outperforms largely its classical NoC counterparts. Recently, Pande and Heo [194] extended and presented the former studies from [210] and [211]. The mSWNoC transmitting circuit fabrication results that consisted of an on?off Keying (OOK) modulator chip were explained [212]. Lately, Xinmin et al. have also unveiled the details of the receiving part that consisted of an OOK demodulator [213].

Extra studies in relation with mSWNoC were also conducted. In one hand, Wettin et al. investigated the performances and the thermal profile of mSWNoC [214]. In the other hand, Murray et al. conducted a study regarding dynamic voltage and frequency scaling (DVFS) using MROOTS and ALASH ii. Small-world wireless NoC et al. proposed to prune as possible the underutilized voltages thus reducing the design of the mSWNoC [216].

To obtain the desired performances from WiNoC, flow control algorithms and fault resilient techniques have to be investigated. Ganguly et al. exploited the fault tolerance behavior of natural networks such as microbes' colonies and the internet to develop a fault tolerant architecture of a small-world WiNoC [217]. The authors demonstrated that even at high fault rates, the developed architecture showed an interesting resilience compared to wired mesh NoC [196]and WiNoC. Moreover, the authors presented an error control coding mechanism that can maintain the network reliability thus achieving similar high performances of its wired NoC counterparts [218].

Design space exploration studies are necessary to build power-efficient and reliable WiNoC. To this aim, Mineo et al. [219]studied an adaptive technique to control the transmitting power at runtime for WiNoC. The authors integrated a specific block called VGA (voltage gain amplifier) which is responsible of controlling the transmitting power via the power amplifier. This technique was integrated into two WiNoC architectures that are iWISE [206] and McWiNoC [198]. The evaluation of the iWISE and the McWiNoC architectures was carried within Noxim simulator [220]. The authors provided results that showed a significant gain in power consumption compared to a baseline powermanagement scheme. Lately, a more detailed study of this technique was developed [221]. The authors applied their technique to an additional WiNOC architecture namely HmWNoC [211].

Similarly, Laha et al. conducted an extensive study followed by a general exploration of the design landscape for WiNoC transceivers at the physical layer [222]. In their work, the authors discussed several alternatives for the design of transceivers in term of the fabrication technology, not only for on-chip wireless interconnect but also for off-chip wireless networking.

In the same way, Abadal et al. explored the enhancement of broadcast-enabled multicore designs with WiNoC [223]. The authors proposed to use a hybrid NoC architecture that was composed of a WiNoC and a classical one. The WiNoC will be used as a global shared medium for data broadcasting while the classical one assured the remaining of the data flow. Additionally, an insightful discussion of the WiNoC state-of-the-art and related challenges was developed.

The design of fault tolerant and reliable WiNoC is more and more explored. Hence, congestion-aware and conflicts-free techniques for WiNoC are necessary. For example, Murray et al. investigated the impact of a congestion-aware routing in the network thermal performances [215]. Additionally, the authors combined this routing scheme with dynamic voltage and frequency scaling to build power-efficient WiNoC.

It is also important to develop design methodologies that are capable to consider QoS factors for WiNoC. Recently, Mansoor et al. [224] presented a design methodology to build fault-resilient WiNoC. The authors considered in their study transient and permanent faults. The proposed methodology combined an optimization of the network topology, a medium access mechanism and an error correction code to build a robust WiNoC. Dehghani and Jamshidi studied a similar approach [225]. First, the authors developed a strategy for an optimal placement of the WiNoC router. Second, they used several fault?tolerant communication protocols to test the efficiency of their WiNoC architecture.

Another known direction to explore for emerging technology NoC is RF-Interconnects (also reported RF NoC). The established works by Socher and Chang [226] and later by Tam et al. [227] could be a good starting point to this section. The authors answered very carefully to the question on how RF-Interconnect can enhance future chips. In fact, the benefits are diverse and can be summarized in the following: ? In the first place, RF-Interconnect are completely scalable and compatible with current and future CMOS fabrication processes. ? Secondly, they are totally immunized against noise thanks to their higher modulation frequency starting from 10 GHz. ? Thirdly, they are a valuable solution to chips with multiple clocked IPs since frequency-division multiple-access algorithms (FDMA) can be used to assure a multi-carrier link. This is useful to modulate heterogeneous clock domains data and transmit them simultaneously but spectrally separated. ? Finally yet importantly, multicast simultaneous communication can be implemented on a single physical waveguide for many receivers. routing algorithms [215]. In relation with the DVFS, Wonje

9. Global

Conceptually, this technique is similar to the macroscopic RF networks. It is based on transceivers and waveguides as propagation medium but rely essentially on integration technology when applied to on?chip or to chip-to-chip networks. Chang et al. [228] discussed this potential solution since 2001. Havemann and Hutch by, in their turn, discussed the other potential emerging solutions [229]. However, first proposals emanated later for off-chip connections from the works of Shin et al. [230,231] and Chang et al. [232,233]. Furthermore, there are other works that discussed onchip interconnects such as in [234] and [227].

In addition of the explanations exposed above, the authors provided realistic implementation results of some prototypes to facilitate the design exploration of RF-Interconnect based architectures.

10. iii. Design space exploration for wireless NoC d) RF-Interconnect

Chang et al. conducted to the best of our knowledge, the first design exploration for RF NoC [234]. The study compared a baseline NoC of 10x10-mesh topology with the same sized architecture but enhanced with a Z-like shaped global waveguide. Shortcuts to the global transmission line were strategically placed to minimize the hop count among the distant routers. The proposal, called MORFIC, showed that for an extra area of 0.13 %, the latency was reduced by 22%, compared to the cited baseline NoC above. Moreover, Tam et al. developed a tri?band RF NoC fabric for a simultaneous, up to 10 Gb/s transmission rate [235].

Liping and Hanson studied the addition of an extra layer on the top or at the bottom of the silicon substrate called guiding layer [236]. Although the study focused on the physical characterization of the guiding layer, it demonstrated, first the feasibility and second the potential of such propagation medium for on-chip interconnects.

Last but not least, we can cite some recent efforts involving the use of Orthogonal Frequency Division Multiple Access (OFDMA) modulation to build an RF NoC. Such approaches were described successively in [237], [238]and [239]. In the first effort [237], a feasibility study of an architecture composed of 32 clusters that were connected with OFDMA RF-based NoC, was developed. Each of the clusters had 16 tiles with 4 ?cores each one, for a total of 2048 cores CMP. In addition, the authors developed an arbitration scheme that reduced the average latency by 3.5x. Drillet et al. exploited the OFDM RF NoC fabric to build a 4096 cores CMP sharing up to 20 GHz of bandwidth [238]. Hamieh et al. in their turn [239], conducted a design space analysis at the physical layer of the same OFDM RF NoC transmission line. A power study was established for an efficient communication with two different shapes for the transmission line (Uand X-like shapes). Recently, Brière et al. presented a similar architecture [240]. The OFDMA technique was used to maximize the use of the available bandwidth. Hence, lower network resources will be needed.

As it was the case for the other emerging technology NoC, many design space exploration exercises for RF-Interconnects were also proceeded. Among the first studies, we can cite the established proposal for intra-chip RF-Interconnect that we had already explained earlier in [234], and also for inter-chip communication like in [241]. Despite the fact that the study in [241]was intended for chip-to-chip communications, the components which were modeled and analyzed in the study, such as waveguides and UWB transceivers, could be used similarly for intra-chip communication purposes. Schinkel et al. proposed a more representative example of the design space exploration at the physical layer [242]. The authors designed a transceiver that can be exploited on a RF NoC capable of up to 5 Gb/s data rate where differential twisted links were used. RF on-chip components that could be utilized for on-or off-chip communications such as transceivers were also studied in [243]and [244], respectively.

Recently, Pourshirazi and Jahanian presented a more developed study [245]. The authors proposed an algorithm for an optimal placement of RF resources in addition of a maximum utilization of the available RF bands. The results showed that routing congestion and critical delay were significantly reduced at power and area costs of 2.33 % and 11.89 %, respectively. Later, ValadBeigi et al. presented another NoC architecture based on a novel framework to reduce the delay and the power consumption [246]. The proposed architecture was a hybrid architecture composed of a conventional NoC along with supplementary RF nodes that might use dedicated shortcut paths for a fast data traversal between distant nodes. The mechanism was assured by a router architecture that had the five classic input/output ports (East, West, North, South and local) in addition of the RF Tx/Rx ports for radio-frequency based communication. Xiao et al. presented a similar exercise [247]. The author discussed key problems related to the design of hybrid RF NoC combined with 2D mesh NoC.

Finally, a very promising attempt was developed by Yu et al. in [248]. The authors proposed the expansion of RF-interconnect capabilities to vertical layers through partially hollow TSVs (air-gap-based coaxial TSVs). Compared to its dielectric counterpart, the modeled air-gap TSV had a smaller power and area consumptions thanks to its reduced hardware footprint.

In this section, we review some of the original proposals or outstanding still in-progress works. Most of these works are based on substrate materials such as graphene or carbon nano-tubes (CNTs)

Previously in Table IV, we mentioned that WiNoC bandwidth might be increased to THz domain with CNTs. CNTs were investigated for on-chip Year 2017 F An Extansive Review of Emerging Technology Networks-on-Chip Proposals interconnect for many years [249]. Recently, Kaushik and Majumder reviewed the evolution of interconnects from a technological perspective [250]. In addition, the authors provided an extensive study on the performances of CNT-based interconnect.

Brun et al. developed a study in relation with CNT-based antennas [251]. The authors verified their study with a practical methodology of a CNT growth process [252]. Thus, they proposed CNTs as an RFinterconnect propagation medium. In addition, CMOS compatibility experiences of the proposed CNTs were described to demonstrate that they could be adopted on conventional CMOS processes.

The organic substrate feasibility and further its productivity were also widely investigated. In this i. RF-Interconnect proposals ii. Design space exploration for RF?Interconnect e) Other alternatives for the NoC design direction, grapheme-based electronics was not only stated for grapheme-based transistors [253], but also in the particular cases of THz enabled nano-antennas [254,255] and for wireless NoC [256]. In the last work, the authors studied the feasibility of grapheme-based WiNoC. Moreover, a design space exploration was initiated to study a grapheme-based WiNoC and ONoC hybrid architecture [257] and by consequence these advancements have attracted the industry [258]. More details of this attempt are provided in [259].

As it was the case in Anthony's work [100], the industry contributed on enriching the intra-chip connection paradigm, as well as the inter-chip one. In this context, Mitchell et al. proposed a proximity communication (PxC) scheme [260]. The concept was based on capacitive coupled channels of up to 4 Gb/s for each one, with less than 2.5 mW/Gb/s (the same concept was also used in a 3D NoC proposal [78] (refer to Section 3.2). The authors claimed that such inter-chip interconnect fabrics could be a viable solution to stack heterogeneous chips such as DRAMs and processors chips. However, they concluded on the fact that augmenting the number of connected chips degrades the overall system latency due to the on-chip metal wiring and they proposed optical interconnects as a potential solution.

In this section, we have reviewed the available literature for emerging technology NoC. We have covered the principal directions in this area namely 3D, Optical-Photonic, Wireless, and Radio-Frequency NoC, in addition of some original alternatives. For a more convenient reading, Table II Wireless NoC [189][190][191][192-194] [195][44] [196][3] [45][197][198][199] IV. CONCLUSION

In this work, we reviewed emerging technology NoC proposals for the last ten years. This includes 3D NoC, Optical NoC and Wireless NoC. We also discussed several other alternatives for the NoC design such as graphene based NoC.

11. Global

Figure 1. Figure 1 :
1Figure 1: Technology?based classification of NoC Before we move forward in this review, we briefly introduce in the following the two NoC's alternatives that are classical or emerging technology NoC.
Figure 2.
also reported PNoC for Photonic NoC), Wireless Ogras and
NoC (WiNoC also reported WNoC), and finally Gu [2011] [51] Marculescu [52]
Radio-Frequency Interconnect (RF-I also reported [2013]
RFNoC). However, there are other original attempts. They targeted some of the advanced and under Fernandez?Alonso et al. [53] [2012] development technologies such as graphene substrate. Kim et al. [2012] [55] Palesi and Daneshtalab [2013] Bergman et al. [2014] [54] [56]
Postman and Chiang [2012] [57] [2014] Tatas et al. [58]
Ben Achballah and Ben Saoud [2012] [59]
Buckler et al. [2012] [60]
Choudhary and Samota [2012] [61]
Radetzki et al. [2013] [62]
Table I Abbas et al. [2014] : NoC?Related State of the Art [63]
Bertozzi et al. [2014] [1]
NoC? related surveys and synthesis efforts Wang and Jin [2014] [5] NoC? related textbooks
Authors [Date] Reference Authors [Date] Reference
Seitz [1990] [13] Dally and Towles [2003] [14]
Dally and Towles [2001] [15] Duato et al. [2003] [16]
Benini and De Micheli [2002] [17] Jantsch and [2003] Tenhunen [18]
Ogras and
Marculescu
Ogras et al. [2005] [19] [2006] from [20]?[21]
Chen (Editor)
[2006]
De Micheli
Pande et al. [2005] [22] and Benini [23]
[2006]
Bjerregaard and Mahadevan [2006] [24] Kogel et al. [2006] [25]
Owens et al. [2007] [26] Yoo, Lee and Kim [2008] [27]
Salminen et al. [2007] ? [2008] [28]?[29] Pasricha and dutt [2008] [30]
Atienza et al. [2008] [31] Jerger and Peh [2009] [32]
Dafali et al. [2008] [33] Chrysostomos et al. [2009] [34]
Zydek et al. [2008] [35] Gebali et al. [2009] [36]
Feero and Pande [2009] [37] Murali [2010] [38]
Flich and
Marculescu et al. [2009] [39]?[40] Bertozzi [41]
[2011]
De Micheli et al. [2010] [42] Silvano et al. [2011] [43]
Deb et al. [2010] [44]?[45] Cong-Vinh [2012] [46]
Rahmani et al. [2010] [47] Cota et al. [2012] [48]
Fu and
Chen et al. [2011] [49] Ampadu
[2012]
Figure 3.
Relatedworks Design space exploration efforts
3D NoC [4][67][68][69][70][69][71][72][73][74][75][76][77][78][79][80][81][82, 83][84] [85][86][87][88][89][90]
All-Optical 107] [104][105][106,
Optical
Note: 178][183][180][184][185][186][187] Hybrid [151][152][153][154][153][155][156][157][158][159][153][160][161][1 62][163]
Figure 4.
[219][206][198][220][221][211][222][223]
[215][224][225]
RF ?I [234][235][237][238][239][238][239][240] [241][242][243][244][245][246][247, 248]
Other Alter ? natives [249][250][251][252][253][254-256][258][259][100][260][78]
1
26

Appendix A

  1. , An Extansive Review of Emerging Technology Networks-on-Chip Proposals
  2. Architecture and design of high-throughput, low-latency, and fault-tolerant routing algorithm for 3D-network-onchip (3D-NoC). A , Ben Ahmed , A Ben Abdallah . The Journal of Supercomputing 2013/12/01 2013. 66 p. .
  3. Graceful deadlock-free fault-tolerant routing algorithm for 3D Network-on-Chip architectures. A , Ben Ahmed , A Ben Abdallah . Journal of Parallel and Distributed Computing 2014. 74 p. .
  4. Research and practices on 3D networks-on-chip architectures. A.-M Rahmani , K Latif , P Liljeberg , J Plosila , H Tenhunen . NORCHIP 2010.
  5. A survey on energy-efficient methodologies and architectures of network-onchip. A Abbas , M Ali , A Fayyaz , A Ghosh , A Kalra , S U Khan . Computers & Electrical Engineering 2014. 40 p. .
  6. A Survey of Network-On-Chip Tools. A Ben Achballah , S Ben Saoud . International Journal of Advanced Computer Science and Applications (IJACSA) 2013. 4 p. .
  7. Broadband CMOS-Compatible Silicon Photonic Electro-Optic Switch for Photonic Networks-on-Chip. A Biberman , H L Lira , K Padmaraju , N Ophir , M Lipson , K Bergman . Conference on Lasers and Electro-Optics, (San Jose, California
    ) 2010. p. A11.
  8. CMOS-compatible scalable photonic switch architecture using 3D-integrated deposited silicon materials for highperformance data center networks, A Biberman , G Hendry , J Chan , H Wang , K Bergman , K Preston . 2017. (in Conference Year)
  9. PROTON: An automatic place-and-route tool for optical Networks-on-Chip. A Boos , L Ramini , U Schlichtmann , D Bertozzi . IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2013. p. .
  10. A Dynamically Reconfigurable RF NoC for Many-Core. A Brière , J Denoulet , A Pinna , B Granado , F Pêcheux , E Unlu . Proceedings of the 25th edition on Great Lakes Symposium on VLSI, (the 25th edition on Great Lakes Symposium on VLSIPittsburgh, Pennsylvania, USA
    ) 2015. p. .
  11. ACM/IEEE International Symposium on Networks, 2009. p. .
  12. A fault-tolerant hierarchical hybrid mesh-based wireless networkon-chip architecture for multicore platforms. A Dehghani , K Jamshidi . The Journal of Supercomputing 2015. 71 p. .
  13. Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems. A Ganguly , K Chang , S Deb , P P Pande , B Belzer , C Teuscher . IEEE Transactions on Computers 2011. 60 p. .
  14. Complex network inspired fault-tolerant NoC architectures with wireless links. A Ganguly , P Wettin , K Chang , P Pande . 5th IEEE/ACM International Symposium on Networks-on-Chip, 2011. p. .
  15. A Unified Error Control Coding Scheme to Enhance the Reliability of a Hybrid Wireless Network-on-Chip. A Ganguly , P Pande , B Belzer , A Nojeh . IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2011. p. .
  16. , A Jantsch , H Tenhunen . 2003. Springer.
  17. Silicon-photonic clos networks for global on-chip communication, A Joshi , C Batten , K Yong-Jin , S Beamer , I Shamim , K Asanovic . p. 3.
  18. Limits and Opportunities for Designing Manycore Processor-to-Memory Networks using Monolithic Silicon Photonics. A Joshi , C Batten , K Yong-Jin , S Beamer , I Shamim . Workshop on Photonic Interconnects and Computer Architecture (PICA) held in conjunction with MICRO-42, 2009.
  19. Runtime Tunable Transmitting Power Technique in mm-Wave WiNoC Architectures. A Mineo , M Palesi , G Ascia , V Catania . IEEE Transactions on Very Large Scale Integration (VLSI) Systems, p. 2015.
  20. An Adaptive Transmitting Power Technique for Energy Efficient mm-Wave Wireless NoCs. A Mineo , M Palesi , G Ascia . Design, Automation & Test in Europe Conference & Exhibition (DATE), 2014.
  21. An Extansive Review of Emerging Technology Networks-on-Chip Proposals sharing in multi-chip photonic interconnects, p. 46.
  22. Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2013. p. .
  23. Arteris FlexNoC Resilience Package, "www.arte ris.com/flexnoc-resilience-package-functional-safety,
  24. Building Ultralow-Latency Interconnection Networks Using Photonic Integration. A Shacham , K Bergman . IEEE Micro 2007. 27 p. .
  25. Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors. A Shacham , K Bergman , L P Carloni . IEEE Transactions on Computers 2008. 57 p. .
  26. An Experimental Validation of a Wavelength-Striped, Packet Switched, Optical Interconnection Network. A Shacham , K Bergman . Journal of Lightwave Technology 2009. 27 p. .
  27. Computer Systems Based on Silicon Photonic Interconnects. A V Krishnamoorthy , R Ho , Z Xuezhe , H Schwetman , J Lexau , P Koka . Proceedings of the IEEE 2009. 97 p. .
  28. Low-power photonic links: Breaking the picojoule-per-bit barrier. A V Krishnamoorthy , R Ho , X Zheng , G Li , J E Cunningham , D Feng . 23rd Annual Meeting of the IEEE Photonics Society, 2010. p. .
  29. PROTON+: A Placement and Routing Tool for 3D Optical Networks-on-Chip with a Single Optical Layer. A Von Beuningen , L Ramini , D Bertozzi , U Schlichtmann . Journal on Emerging Technologies in Computing Systems 2015. 12 p. .
  30. A Zulfiqar , P Koka , H Schwetman , M Lipasti , X Zheng , A Krishnamoorthy . Wavelength stealing: an opportunistic approach to channel Year, 2017.
  31. A 3-D Integrated Intrachip Free-Space Optical Interconnect for Many-Core Chips. B Ciftcioglu , R Berman , Z Jian , Z Darling , W Shang , H Jianyun . IEEE Photonics Technology Letters 2011. 23 p. .
  32. B Fu , P Ampadu . Error Control for Network-on-Chip Links, 2012. Springer.
  33. Carbon Nanotube Based VLSI Interconnects : Analysis and Design, B K Kaushik , M K Majumder . 2015. India: Springer.
  34. RF-Interconnect Resource Assignment and Placement Algorithms in Application Specific ICs to Improve Performance and Reduce Routing Congestion. B Pourshirazi , A Jahanian . 15th Euromicro Conference on Digital System Design (DSD), 2012. p. .
  35. Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation. B S Feero , P P Pande . IEEE Transactions on Computers 2009. 58 p. .
  36. On-chip Optical Interconnects using InGaN Light-Emitting Diodes Integrated with Si-CMOS. B Wang , L Zhang , W Zhang , C Wang , K E Lee , J Michel . Asia Communications and Photonics Conference, (Shanghai
    ) 2014.
  37. Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics. C Batten , A Joshi , J Orcutt , A Khilo , B Moss , C Holzwarth . IEEE 16th Annual Symposium on High Performance Interconnects (HOTI), 2008. p. .
  38. Building Manycore Processor-to-DRAM Networks with Monolithic CMOS Silicon Photonics. C Batten , A Joshi , J Orcutt , C Holzwarth , M Popovic , J Hoyt . IEEE Micro 2009. p. .
  39. Designing Chip-Level Nanophotonic Interconnection Networks. C Batten , A Joshi , V Stojanovic , K Asanovic . IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2012. 2 p. .
  40. Carbon nanostructures dedicated to RF interconnect management, C Brun , D Baillargeat , Y C Chong , D Tan , P Coquet , B K Tay . p. 44.
  41. Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture. C Chao , A Joshi . IEEE Journal of Selected Topics in Quantum Electronics 2013. 19.
  42. Sharing and Placement of On-chip Laser Sources in Silicon-Photonic NoCs. C Chen , T Zhang , P Contu , J Klamkin , A Coskun , A Joshi . 8th IEEE/ACM International Symposium on Networks-on-Chip, 2014. p. .
  43. Incremental design of scalable wireless interconnection structure for CMPs. C Hanhua , H Qiong , J Hai . IEEE 22nd International Symposium of Quality of Service (IWQoS), 2014. p. .
  44. LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip. C Li , M Browning , P V Gratz , S Palermo . IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2014. 33 p. .
  45. Let's route packets instead of wires. C L Seitz . 6th MIT conference on Advanced research in VLSI, 1990.
  46. Tiny -optimised 3D mesh NoC for area and latency minimisation. C Marcon , T Webber , R Fernandes , R Cataldo , F Grando , L Poehls . Electronics Letters 2014. 50 p. .
  47. Design and OPNET implementation of routing algorithm in 3D optical network on chip. C Qing , H Weigang , Y Cunqian , H Pengchao , Z Lincong , G Lei . IEEE/CIC International Conference on Communications in China (ICCC), 2014. p. .
  48. Design and Analysis of NoCs for Low-Power 2D and 3D SoCs, C Seiculescu , S Murali , L Benini , G Micheli . Low Power Networks-on-Chip, C. Silvano, M. Lajolo, and G. Palermo (ed.) 2011. Springer US. p. .
  49. , C Silvano , M Lajolo , G Palermo . 2011. Springer US.
  50. DSENT -A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling. C Sun , C.-H. Owen Chen , G Kurian , L Wei , J Miller , A Agarwal . 6th IEEE/ACM International Symposium on Networks-on-Chip, 2012. p. .
  51. Improving EDP in wireless NoC-enabled multicore chips via DVFS pruning. C Wonje , S Hajiamin , R G Kim , A Rahimi , N Hezarjaribi , P P Pande . IEEE 58th International Midwest Symposium onCircuits and Systems (MWSCAS), 2015. p. .
  52. High performance hybrid NoCs design with wireless/RF-I. C Xiao , Z Huang , D Li . International Conference on Mechatronic Sciences, 2013. p. . Electric Engineering and Computer (MEC
  53. Network-on-Chip design and synthesis outlook. D Atienza , F Angiolini , S Murali , A Pullini , L Benini , G D Micheli . Integration, the VLSI Journal 2008. p. .
  54. The fast evolving landscape of on-chip communication, D Bertozzi , G Dimitrakopoulos , J Flich , S Sonntag . 2014. p. . (Design Automation for Embedded Systems)
  55. iWISE: Inter-router Wireless Scalable Express Channels for Network-on-Chips (NoCs) Year 2017 F Architecture. D Ditomaso , A Kodi , S Kaya , D Matolak . IEEE 19th Annual Symposium on High Performance Interconnects (HOTI), 2011. p. .
  56. Energy-efficient adaptive wireless NoCs architecture. D Ditomaso , A Kodi , D Matolak , S Kaya , S Laha , W Rayess . 7th IEEE/ACM International Symposium on Networks-on-Chip, 2013. p. .
  57. Low-Power, High-Speed Transceivers for Network-on-Chip Communication. D Schinkel , E Mensink , E A M Klumperink , E Van Tuijl , B Nauta . IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2009. 17 p. .
  58. Corona: System Implications of Emerging Nanophotonic Technology. D Vantrease , R Schreiber , M Monchiero , M Mclaren , N P Jouppi , M Fiorentino . 35th International Symposium on Computer Architecture, 2008. p. .
  59. Light speed arbitration and flow control for nanophotonic interconnects. D Vantrease , N Binkert , R Schreiber , M H Lipasti . 42nd Annual IEEE/ACM International Symposium on Microarchitecture, 2009. p. .
  60. P-sync: A Photonically Enabled Architecture for Efficient Nonlocal Data Access. D Whelihan , J J Hughes , S M Sawyer , E Robinson , M Wolf , S Mohindra . IEEE 27th International Parallel and Distributed Processing Symposium, 2013. p. .
  61. SD-MAC: Design and Synthesis of a Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip. D Zhao , Y Wang . IEEE Transactions on Computers 2008. 57 p. .
  62. Design of multi-channel wireless NoC to improve on-chip communication capacity!. D Zhao , Y Wang , L Jian , T Kikkawa . 5th IEEE/ACM International Symposium on Networks-on-Chip, 2011. p. .
  63. Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. D Zhao , W Ruizhe . 6th IEEE/ACM International Symposium on Networks-on-Chip, 2012. p. .
  64. Design of a scalable RF microarchitecture for heterogeneous MPSoCs. D Zhao , Y Wang . IEEE International SOC Conference (SOCC), 2012. p. .
  65. DuSCA: A multichanneling strategy for doubling communication capacity in wireless NoC. D Zhao , Y Wang , L Jian . IEEE 30th International Conference on Computer Design (ICCD), 2012. p. .
  66. I(Re)2 -WiNoC: Exploring scalable wireless on-chip micronetworks for heterogeneous embedded manycore SoCs. D Zhao , Y Wang , H Wu , T Kikkawa . Digital Communications and Networks 2015. 1 p. .
  67. Review of Packet Switching Technologies for Future NoC. D Zydek , N Shlayan , E Regentova , H Selvaraj . 19th International Conference on Systems Engineering, 2008. p. .
  68. Survey of NoC and Programming Models Proposals for MPSoC. E Fernandez-Alonso , D Castells-Rufas , J Joven , J Carrabina . International Journal of Computer Science Issues 2012. 9.
  69. Towards High-Performance and Power-Efficient Optical NoCs Using Silicon-in-Silica Photonic Components. E Kakoulli , V Soteriou , C Koutsides , K Kalli . 9th International Workshop on Interconnection Network Architectures: On-Chip, (Multi-Chip (INA-OCMC
    ) 2015. p. .
  70. On network-on-chip comparison. E Salminen , A Kulmala , T D Hâmâlâinen . 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools, 2007. p. .
  71. Survey of Network-on-chip Proposals, White paper from the former Open Core Protocol International Partnership Association (OCP-IP). E Salminen , A Kulmala , T D Hâmâlâinen . OCP 2008. (IP White paper)
  72. Can RF Help CMOS Processors?. E Socher , M C F Chang . IEEE Communications Magazine 2007. 45 p. .
  73. An OFDMA based RF interconnect for massive multi-core processors. E Unlu , M Hamieh , C Moy , M Ariaudo , Y Louet , F Drillet . 8th IEEE/ACM International Symposium on Networks-on-Chip, 2014. p. .
  74. European Microwave Conference, 2014. p. .
  75. Review of Emerging Technology Networks-on-Chip Proposals on Optical Fiber Communication, collocated National Fiber Optic Engineers Conference, F An Extansive . 2011. p. .
  76. Review of Emerging Technology Networks-on-Chip Proposals Connected 3D-NoCs. F An Extansive . IEEE Transactions on Computers 2013. 62 p. .
  77. Flexible Radio Interface for NoC RF-Interconnect. F Drillet , M Hamieh , L Zerioul , A Briere , E Unlu , M Ariaudo . 17th Euromicro Conference on Digital System Design, 2014. p. .
  78. Elevator-First: A Deadlock-Free Distributed Routing Algorithm for Vertically Partially Year, F Dubois , A Sheibanyrad , F Pe , M Bahmani . 2017.
  79. Demonstration of a Photonic Integrated Network-on-chip with Multi Microrings. F Gambini , P Pintus , S Faralli , N Andriolli , I Cerutti . Optical Fiber Communication Conference, (Los Angeles, California
    ) 2015. p. .
  80. F Gebali , H Elmiligi , M W El-Kharashi . Networks-on-Chips: Theory and Practice, 2009. CRC Press.
  81. Ultracompact optical buffers on a silicon chip. F Xia , L Sekaric , Y Vlasov . Nature Photonics 01//print 2007. 1 p. .
  82. Predictions of CMOS compatible on-chip optical interconnect. G Chen , H Chen , M Haurylau , N A Nelson , D H Albonesi , P M Fauchet . Integration, the VLSI Journal 2007. 40 p. .
  83. G De Micheli , L Benini . Networks on Chips: Technology and Tools, 2006. Morgan Kaufmann.
  84. Networks on Chips: from Research to Products. G De Micheli , S Seiculescu , L Murali , F Benini , A Angiolini , Pullini . 47th ACM/EDAC/IEEE Design Automation Conference (DAC), 2010. p. .
  85. Analysis of photonic networks for a chip multiprocessor using scientific applications. G Hendry , S Kamil , A Biberman , J Chan , B G Lee , M Mohiyuddin . 3rd ACM/IEEE International Symposium on Networks, 2009. p. .
  86. Silicon Nanophotonic Network-on-Chip Using TDM Arbitration. G Hendry , J Chan , S Kamil , L Oliker , J Shalf , L P Carloni . IEEE 18th Annual Symposium on High Performance Interconnects (HOTI), 2010. p. .
  87. VANDAL: A tool for the design specification of nanophotonic networks. G Hendry , J Chan , L P Carloni , K Bergman . Design, Automation & Test in Europe Conference & Exhibition (DATE), 2011. p. .
  88. ATAC: a 1000-core cache-coherent processor with on-chip optical network. G Kurian , J E Miller , J Psota , J Eastep , J Liu , J Michel . Proceedings of the 19th international conference on Parallel architectures and compilation techniques (PACT), (the 19th international conference on Parallel architectures and compilation techniques (PACT)) 2010. p. .
  89. Initial MAC Exploration for Graphene-enabled Wireless Networks-on-Chip. G Piro , S Abadal , A Mestres , E Alarc , J Sol-Pareta , L A Grieco . Proceedings of ACM The First Annual International Conference on Nanoscale Computing and Communication, (ACM The First Annual International Conference on Nanoscale Computing and CommunicationAtlanta, GA, USA
    ) 2007. p. .
  90. A low overhead, fault tolerant and congestion aware routing algorithm for 3D mesh-based Network-on-Chips. H , Naghibi Jouybari , K Mohammadi . Microprocessors and Microsystems 2014. 38 p. .
  91. FT-Z-OE: A Fault Tolerant and Low Overhead Routing Algorithm on TSV-based 3D Network on Chip Links. H , Naghibi Jouybari , K Mohammadi . International Journal of Computer Applications 2015. 115 p. .
  92. Low-Power NoC for High-Performance SoC Design, H.-J Yoo , K Lee , J K Kim . 2008. CRC Press.
  93. Power-aware Mapping for 3D-NoC Designs Using Genetic Algorithms. H Elmiligi , F Gebali , M W El-Kharashi . Procedia Computer Science 2014. 34 p. .
  94. A Review of Research on Network-on-Chip Simulator. H Gu . Communication Systems and Information Technology, M Ma (ed.) 2011. Springer.
  95. Research Challenges on 2-D and 3-D Network-on-Chips. H Matsutani . First International Symposium on Computing and Networking (CANDAR), 2013. p. .
  96. A case for wireless 3D NoCs for CMPs. H Matsutani , P Bogdan , R Marculescu , Y Take , D Sasaki , Z Hao . 18th Asia and South Pacific Design Automation Conference, 2013. p. .
  97. 3D Wireless NoC Architectures (Special Session Presentation). H Matsutani . mpsoc.unife.it/~nocsymposium/images/slides/hiroki.pdf 8th IEEE/ACM International Symposium on Networks-on-Chip, 2014.
  98. Low-Latency Wireless 3D NoCs via Randomized Shortcut Chips. H Matsutani , M Koibuchi , I Fujiwara , T Kagami , Y Take , T Kuroda . Design, Automation & Test in Europe Conference & Exhibition (DATE), 2014.
  99. Electrically pumped hybrid AlGaInAs-silicon evanescent laser. H Park , O Cohen , R Jones , M J Paniccia , J E Bowers . An Extansive Review of Emerging Technology Networks-on-Chip Proposals 90. A. W. Fang, 2006. 14 p. .
  100. 1.1 Gbit/s RFinterconnect based on 10 GHz RF-modulation in 0.18 µm CMOS. H Shin , M F Chang . Electronics Letters 2002. 38 p. .
  101. Estimation of signal-to-noise ratio improvement in RF-interconnect. H Shin , Z Xu , K Miyashiro , M F Chang . Electronics Letters 2002. 38 p. .
  102. Fast and optimized task allocation method for low vertical link density 3-Dimensional Networks-on-Chip based many core systems. H Ying , T Hollstein , K Hofmann . Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013. p. .
  103. Dynamic Power Consumption Optimization for Inductive-Coupling based Wireless 3D NoCs. H Zhang , H Matsutani , M Koibuchi , H Amano . IPSJ Transactions on System LSI Design Methodology 2014. 7 p. .
  104. Graphenebased nano-patch antenna for terahertz radiation. I Llatser , C Kremers , A Cabellos-Aparicio , J M Jornet , E Alarcón , D N Chigrin . Photonics and Nanostructures -Fundamentals and Applications, 10// 2012. 10 p. .
  105. Inter/Intra-Chip Optical Network Bibliography, www.ece.ust.hk/~eexu/index_files/bibliography.htm The Hong Kong University of Science and Technology
  106. Tools and methodologies for designing energyefficient photonic networks-on-chip for highperformance chip multiprocessors. J Chan , G Hendry , A Biberman , K Bergman . IEEE International Symposium on Circuits and Systems (ISCAS), 2010. p. .
  107. Architectural Exploration of Chip-Scale Photonic Interconnection Network Designs Using Physical-Layer Analysis. J Chan , G Hendry , A Biberman , K Bergman . Journal of Lightwave Technology 2010. 28 p. .
  108. PhoenixSim: A simulator for physicallayer analysis of chip-scale photonic interconnection networks. J Chan , G Hendry , A Biberman , K Bergman , L P Carloni . Design, Automation & Test in Europe Conference & Exhibition (DATE), 2010. p. .
  109. Physical-Layer Modeling and System-Level Design of Chip-Scale Photonic Interconnection Networks. J Chan , G Hendry , K Bergman , L P Carloni . IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2011. 30 p. .
  110. Network-on-Chip (NoC) Topologies and Performance : A Review. J Chen , L Cheng , P Gillard . NECEC, 2011.
  111. Research Challenges for On-Chip Interconnection Networks. J D Owens , W J Dally , R Ho , D N Jayasimha , S W Keckler , P Li-Shiuan . IEEE Micro 2007. 27 p. .
  112. Interconnection Networks: An Engineering Approach, J Duato , S Yalamanchili , L Ni . 2003. Morgan Kaufmann.
  113. Designing Network On-Chip Architectures in the Nanoscale Era, J Flich , D Bertozzi . 2011. CRC Press.
  114. Samsung funds graphene antenna project for wireless, ultra-fast intra-chip links, JHewitt . www.extremetech.com/extreme/149172-samsung-funds-graphene-antenna-project-for-wireless-ultra-fast-intra-chip-links 2013.
  115. Exploiting New Interconnect Technologies in On-Chip Communication. J Kim , C Kiyoung , G Loh . IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2012. 2 p. .
  116. A deadlock-free routing algorithm requiring no virtual channel on 3D-NoCs with partial vertical connections. J Lee , K Choi . 7th IEEE/ACM International Symposium on Networks-on-Chip, 2013. p. .
  117. REDELF: An Energy-Efficient Deadlock-Free Routing for 3D NoCs with Partial Vertical Connections. J Lee , K Kang , K Choi . Journal on Emerging Technologies in Computing Systems 2015. 12 p. .
  118. Integrating Novel Packaging Technologies for Large Scale Computer Systems. J Mitchell , J Cunningham , A V Krishnamoorthy , R Drost , R Ho . InterPACK Conference collocated with the ASME, 2009.
  119. Graphene-based Plasmonic Nano-Antenna for Terahertz Band Communication in Nanonetworks. J M Jornet , I F Akyildiz . IEEE Journal on Selected Areas in Communications 2013. 31 p. .
  120. Performance Evaluation of Congestion-Aware Routing with DVFS on a Millimeter-Wave Small-World Wireless NoC. J Murray , R Kim , P Wettin , P P Pande , B Shirazi . ACM Journal on Emerging Technologies in Computing Systems 2014. 11 p. .
  121. ATAC: All-to-All Computing Using On-Chip Optical Interconnects. J Psota , J Eastep , J Miller , T Konstantakopoulos , M Watts , M Beals . Boston Area Architecture (BARC), 2007.
  122. ATAC: Improving Performance and Programmability with On-Chip Optical Networks. J Psota , J Miller , G Kurian , H Hoffmann , N Beckmann , J Eastep . Proceedings of International Symposium on Circuits and Systems (ISCS), (International Symposium on Circuits and Systems (ISCS)) 2010.
  123. VCSELs for 10 GB/s optical interconnects. J Tatum . IEEE Emerging Technologies Symposium on BroadBand Communications for the Internet Era Symposium digest, 2001. p. .
  124. Optical interconnections for VLSI systems. J W Goodman , F J Leonberger , K Sun-Yuan , R A Athale . Proceedings of the IEEE 1984. 72 p. .
  125. An intra-chip free-space optical interconnect. J Xue , A Garg , B Ciftcioglu , J Hu , S Wang , I Savidis . Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA), (the 37th Annual International Symposium on Computer Architecture (ISCA)) 2010. p. .
  126. Kalray's 2nd Generation 288-Core Processor, IBM.www.ibm.com.Tilera.www.tilera.com/products/processors p. .
  127. K Bergman , L Carloni , A Biberman , J Chan , G Hendry , ; P Chiang . Photonic Network-on-Chip Design, (New York
    ) 2014. 2012. Springer-Verlag. 68 p. . (ISRN Electronics)
  128. Performance evaluation and design trade-offs for wireless network-on-chip architectures. K Chang , S Deb , A Ganguly , X Yu , S P Sah , P P Pande . ACM Journal on Emerging Technologies in Computing Systems 2012. 8 p. .
  129. Carbon Nanotubes as Optical Antennae. K Kempa , J Rybczynski , Z Huang , K Gregorczyk , A Vidan , B Kimball . Advanced Materials 2007. 19 p. .
  130. Deadlock free routing algorithm for minimizing congestion in a Hamiltonian connected recursive 3D-NoCs. K Somasundaram , J Plosila , N Viswanathan . Microelectronics Journal 2014. 45 p. .
  131. K Tatas , K Siozios , D Soudris , A Jantsch . Designing 2D and 3D Network-on-Chip Architectures, 2014. Springer.
  132. Analysis of Noncoherent ASK Modulation-Based RF-Interconnect for Memory Interface. K Yanghyo , T Sai-Wang , B Gyung-Su , W Hao , N Lan , G Reinman . IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2012. 2 p. .
  133. BLOCON: A Bufferless Photonic Clos network-on-chip architecture. K Yu-Hsiang , H J Chao . 5th IEEE/ACM International Symposium on Networks-on-Chip, 2011. p. .
  134. Networks on Chips: A New SoC Paradigm. L Benini , G De Micheli . Computer 2002. p. .
  135. Fault-Tolerant 3D-NoC Architecture and Design: Recent Advances and Challenges. L Jiang , Q Xu . 9th International Symposium on Networks-on-Chip, (Vancouver, BC, Canada
    ) 2015. p. .
  136. Stable and efficient quantum-dot light-emitting diodes based on solution-processed multilayer structures. L Qian , Y Zheng , J Xue , P H Holloway . Nature Photonics 2011. 5 p. .
  137. Exploring Communication Protocols for Optical Networks-on-Chip based on Ring Topologies. L Ramini , M Tala , D Bertozzi . Asia Communications and Photonics Conference, 2014.
  138. Towards Compelling Cases for the Viability of Silicon-Nanophotonic Technology in Future Manycore Systems. L Ramini , H Fankem , A Ghiribaldi , Paolo Grani , M Ortín-Obón , A Boos . 8th IEEE/ACM International Symposium on Networks-on-Chip, 2014. p. .
  139. Assessing the Energy Year 2017 F Break-Even Point between an Optical NoC Architecture and an Aggressive Electronic Baseline. L Ramini , P Grani , T H Fankem , A Ghiribaldi , S Bartolini , D Bertozzi . Design, Automation & Test in Europe Conference & Exhibition (DATE), 2014.
  140. Reconfigurable nonblocking 4-port silicon thermo-optic optical router based on Mach-Zehnder optical switches. L Yang , Y Xia , F Zhang , Q Chen , J Ding , P Zhou . Optics Letters 2015/04/01 2015. 40 p. .
  141. Performance evaluation of air-gap-based coaxial RF TSV for 3D NoC. L Yu , H Yang , J Zhang , W Wang . IEEE/IFIP 19th International Conference on VLSI and System-on-Chip (VLSI-SoC), 2011. p. .
  142. PROBE: Prediction-based optical bandwidth scaling for energy-efficient NoCs. L Zhou , A Kodi . 7th IEEE/ACM International Symposium on Networks-on-Chip, 2013. p. .
  143. Silicon photonics: Energy-efficient communication. M Asghari , A V Krishnamoorthy . Nature Photonics 05//print 2011. 5 p. .
  144. Augmenting Manycore Programmable Accelerators with Photonic Interconnect Technology for the High-End Embedded Computing Domain. M Balboni , M Ortín-Obón , A Capotondi , A Ghiribaldi , H Fankem , L Ramini . 8th IEEE/ACM International Symposium on Networks-on-Chip, 2014. p. .
  145. An Energy-Efficient Reconfigurable NoC Architecture with RF-Interconnects. M Beigi , F Safaei , B Pourshirazi . 16th Euromicro Conference on Digital System Design (DSD), 2013. p. .
  146. Lowpower Networks-on-Chip: Progress and remaining challenges. M Buckler , W Burleson , G Sadowski . IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2013. p. .
  147. In-order delivery approach for 2D and 3D NoCs. M Daneshtalab , M Ebrahimi , S Dytckov , J Plosila . The Journal of Supercomputing 2014/12/04 2014. p. .
  148. Faulttolerant routing algorithm for 3D NoC using hamiltonian path strategy. M Ebrahimi , M Daneshtalab , J Plosila . Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013. p. .
  149. Path-based partitioning methods for 3D networks-on-chip with minimal adaptive routing. M Ebrahimi , M Daneshtalab , P Liljeberg , J Plosila , J Flich , H Tenhunen . IEEE Transactions on Computers 2014. 63 p. .
  150. RF/wireless interconnect for inter-and intra-chip communications. M F Chang , V P Roychowdhury , Z Liyang , S Hyunchol , Q Yongxi . Proceedings of the IEEE 2001. 2001. 89 p. . (Proceedings of the IEEE)
  151. Advanced RF/baseband interconnect schemes for inter-and intra-ULSI communications. M F Chang , I Verbauwhede , C Chien , Z Xu , K Jongsun , K Jenwei . IEEE Transactions on Electron Devices 2005. 52 p. .
  152. CDMA/FDMA-interconnects for future ULSI communications. M F Chang . IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2005. p. .
  153. CMP network-on-chip overlaid with multi-band RF-interconnect. M F Chang , J Cong , A Kaplan , M Naik , G Reinman , E Socher . 14th International Symposium on High Performance Computer Architecture (HPCA), 2008. p. .
  154. Sizing of the physical layer of a RF intra-chip communications. M Hamieh , M Ariaudo , S Quintanel , Y Louet . 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS), 2014. p. .
  155. On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions. M Haurylau , C Guoqing , C Hui , Z Jidong , N A Nelson , D H Albonesi . IEEE Journal of Selected Topics in Quantum Electronics 2006. 12 p. .
  156. Energy Efficient and Energy Proportional Optical Interconnects for Multi-Core Processors: Driving the Need for On-Chip Sources. M J R Heck , J E Bowers . IEEE Journal of Selected Topics in Quantum Electronics 2014. 20 p. .
  157. Phastlane: a rapid transit optical routing network. M J Cianchetti , J C Kerekes , D H Albonesi . Proceedings of the 36th Annual International Symposium on Computer Architecture, (the 36th Annual International Symposium on Computer Architecture) 2009. p. .
  158. Analytical Reliability Analysis of 3D NoC under TSV Failure. M Khayambashi , P M Yaghini , A Eghbal , N Bagherzadeh . Journal on Emerging Technologies in Computing Systems 2015. 11 p. .
  159. Reliability-Aware Design Flow for Silicon Photonics On-Chip Interconnect. M Mohamed , L Zheng , C Xi , S Li , A R Mickelson . IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014. 22 p. .
  160. CLAP: a Crosstalk and Loss Analysis Platform for Optical Interconnects. M Nikdast , L H K Duong , J Xu , S Le Beux , X Wu , Z Wang . 8th IEEE/ACM International Symposium on Networks-on-Chip, 2014. p. .
  161. A low power, high data rate ir-uwb pulse generator with BPSK modulation in 90nm CMOS technology for onchip wireless interconnects. M N Karim , S M I Hossain , P K Saha . International Conference on Informatics, Electronics & Vision (ICIEV), 2012. p. .
  162. Capturing the sensitivity of optical network quality metrics to its network interface parameters. M Ortín-Obón , L Ramini , V Viñals , D Bertozzi . Concurrency and Computation: Practice and Experience 2014. 26 p. .
  163. Noxim User Guide, M Palesi , D Patti , F Fazzino . 2010.
  164. M Palesi , M Daneshtalab . Routing Algorithms in Networks-on-Chip, 2014. Springer.
  165. Design Exploration of Optical Interconnection Networks for Chip Multiprocessors. M Petracca , B G Lee , K Bergman , L P Carloni . IEEE 16th Annual Symposium on High Performance Interconnects (HOTI), 2008. p. .
  166. Methods for fault tolerance in networks-on-chip. M Radetzki , C Feng , X Zhao , A Jantsch . ACM Computing Surveys 2013. 46 p. .
  167. Comparison between optical and electrical interconnects based on power and speed considerations. M R Feldman , S C Esener , C C Guest , S H Lee . Applied Optics 1988/05/01 1988. 2017. 27 p. .
  168. Performance of inter-chip RF-interconnect using CPW, capacitive coupler, and UWB transceiver. M Sun , Y P Zhang . IEEE Transactions on Microwave Theory and Techniques 2005. 53 p. .
  169. , Multi-Processor Platforms . 2006. Netherlands: Springer.
  170. A Survey of Logic Based Distributed Routing for On-Chip Interconnection Networks. N Choudhary , C M Samota . International Journal of Soft Computing and Engineering (IJSCE) 2013. 3.
  171. N Chrysostomos , N Vijaykrishnan , C R Das . Network-on-Chip Architectures, 2009. Springer.
  172. Thermal Optimization in Network-on-Chip-Based 3D Chip Multiprocessors Using Dynamic Programming Networks. N Dahir , R E Al-Dujaily , T Mak , A Yakovlev . ACM Transactions on Embedded Computing Systems 2014. 13 p. .
  173. N E Jerger , L.-S Peh . On-Chip Networks, 2009. Morgan & Claypool. 4.
  174. NetSpeed systems, www.netspeedsystems.com.Intel.www.intel.com
  175. Leveraging Optical Technology in Future Bus-based Chip Multiprocessors. N Kirman , M Kirman , R K Dokania , J F Martinez , A B Apsel , M A Watkins . 39th Annual IEEE/ACM International Symposium on Microarchitecture, 2006. p. .
  176. A New Recursive Partitioning Multicast Routing Algorithm for 3D Network-on-Chip. N K Meena , H K Kapoor , S Chakraborty . 18th International Symposium on VLSI Design and Test, 2014. p. .
  177. Design Methodology for a Robust and Energy-Efficient Millimeter-Wave Wireless Network-on-Chip. N Mansoor , P J S Iruthayaraj , A Ganguly . IEEE Transactions on Multi-Scale Computing Systems 2015. 1 p. .
  178. A Scalable 3D Heterogeneous Multicore with an Inductive ThruChip Interface. N Miura , Y Koizumi , Y Take , H Matsutani , T Kuroda , H Amano . IEEE Micro 2013. 33 p. .
  179. , Nock-Lock Sonics , Security . www.sonicsinc.com/wp-content/uploads/NoC-Lock.pdf
  180. First demonstration of error-free operation of a full silicon on-chip photonic link. N Ophir , K Padmaraju , A Biberman , C Long , K Preston , M Lipson . National Fiber Optic Engineers Conference Optical Fiber Communication Conference and Exposition, 2011 and the 2011. p. .
  181. P Cong-Vinh . Autonomic Networking-On-Chip: Bio-Inspired Specification, Development, and Verification, 2012. CRC Press.
  182. A study of a wire-wireless hybrid NoC architecture with an energy-proportional multicast scheme for energy efficiency. P Dai , J Chen , Y Zhao , Y.-H Lai . Computers & Electrical Engineering 2015. 45 p. .
  183. Design Options for Optical Ring Interconnect in Future Client Devices. P Grani , S Bartolini . ACM Journal on Emerging Technologies in Computing Systems 2014. 10 p. .
  184. QuT: A Low-Power Optical Network-on-Chip. P K Hamedani , N Enright Jerger , S Hessabi . 8th IEEE/ACM International Symposium on Networks-on-Chip, 2014. p. .
  185. Siliconphotonic network architectures for scalable, powerefficient multi-chip systems. P Koka , M O Mccracken , H Schwetman , X Zheng , R Ho , A V Krishnamoorthy . Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA), (the 37th Annual International Symposium on Computer Architecture (ISCA)) 2010. p. .
  186. Silicon-based all-optical multi microring network-on-chip. P Pintus , P Contu , P G Raponi , I Cerutti , N Andriolli . Optics Letters 2014/02/15 2014. 39 p. .
  187. Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures. P P Pande , C Grecu , M Jones , A Ivanov , R Saleh . IEEE Transactions on Computers 2005. 54 p. .
  188. Planar Wireless NoC Architectures. P P Pande , D Heo . 8th IEEE/ACM International Symposium on Networks-on-Chip, 2014.
  189. Performance Evaluation of Wireless NoCs in Presence of Irregular Network Routing Strategies. P Wettin , J Murray , R Kim , X Yu , P P Pande , D Heo . Design, Automation & Test in Europe Conference & Exhibition (DATE), 2014.
  190. All-optical logic based on silicon micro-ring resonators. Q Xu , M Lipson . Optics Express 2007/02/05 2007. 15 p. .
  191. Key Research Issues for Reconfigurable Network-on-Chip. R Dafali , J P Diguet , M Sevaux . International Conference on Reconfigurable Computing and FPGAs (ReConFig), 2008. p. .
  192. Highperformance interconnects: an integration 248. R H Havemann , J A Hutchby ; C. Brun , P Franck , P Coquet , D Baillargeat , B K Tay . IEEE MTT-S International Microwave Symposium Digest (IMS), 2013. p. . (Monopole antenna based on carbon nanotubes)
  193. The Chip Is the Network: Toward a Science of Network-on-Chip Design. R Marculescu , P Bogdan . Foundations and Trends® in Electronic Design Automation 2009. 2 p. .
  194. Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives. R Marculescu , U Y Ogras , L.-S Peh , N E Jerge , Y Hoskote . IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2009. 2017. 28 p. .
  195. Foundations of On-chip Communication: Performance and Power Management in 2D and 3D Multicore Platforms. R Marculescu . 8th IEEE/ACM International Symposium on Networkson-Chip, 2014.
  196. Exploring the Design of 64-and 256-Core Power Efficient Nanophotonic Interconnect. R Morris , A K Kodi . IEEE Journal of Selected Topics in Quantum Electronics 2010. 16 p. .
  197. A scalable micro wireless interconnect structure for CMPs. S.-B Lee , S.-W Tam , I Pefkianakis , S Lu , M F Chang , C Guo . Proceedings of the 15th annual international conference on Mobile computing and networking, (the 15th annual international conference on Mobile computing and networkingBeijing, China
    ) 2009. p. .
  198. A simultaneous tri-band on-chip RFinterconnect for future network-on-chip. S.-W Tam , E Socher , A Wong , M C F Chang . Symposium on VLSI Circuits -Digest of Technical Papers, 2009. p. .
  199. RF-Interconnect for Future Network-On-Chip, S.-W Tam , E Socher , M F Chang , J Cong , G Reinman . Low Power Networks-on-Chip, C. Silvano, M. Lajolo, and G. Palermo (ed.) 2011. Springer US. p. .
  200. Graphene-enabled wireless communication for massive multicore architectures. S Abadal , E Alarco , A Cabellos-Aparicio , M C Lemme , M Nemirovsky . IEEE Communications Magazine 2013. 51 p. .
  201. Evaluating the Feasibility of Wireless Networks-on-Chip Enabled by Graphene. S Abadal , A Mestres , M Iannazzo , J Sol-Pareta , E Alarc , A Cabellos-Aparicio . Proceedings of the 2014 International Workshop on Network on Chip Architectures (NoCArc), (the 2014 International Workshop on Network on Chip Architectures (NoCArc)Cambridge, United Kingdom
    ) 2014. p. .
  202. Broadcast-Enabled Massive Multicore Architectures: A Wireless RF Approach. S Abadal , B Sheinman , O Katz , O Markish , D Elad , Y Fournier . IEEE Micro 2015. 35 p. .
  203. IBM creates first cheap, commercially viable, electronic-photonic integrated chip, available in: www.extreme tech.com/com puting/142881-ibmcreates-first-cheap-commerci ally-viable-siliconnanophotonic-chip, S Anthony . 2012.
  204. METEOR: Hybrid photonic ring-mesh network-on-chip for multicore architectures. S Bahirat , S Pasricha . ACM Transactions on Embedded Computing Systems 2014. 13 p. .
  205. Olympic: A Hierarchical All-Optical Photonic Network for Low-Power Chip Multiprocessors. S Bartolini , L Lusnig , E Martinelli . 16th Euromicro Conference on Digital System Design (DSD), 2013. p. .
  206. Re-architecting DRAM memory systems with monolithically integrated silicon photonics. S Beamer , C Sun , Y.-J Kwon , A Joshi , C Batten , V Stojanovi . Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA), (the 37th Annual International Symposium on Computer Architecture (ISCA)) 2010. p. .
  207. Comparative performance evaluation of wireless and optical NoC architectures. S Deb , K Chang , A Ganguly , P P Pande . IEEE International SOC Conference (SOCC), 2010. p. .
  208. Enhancing performance of networkon-chip architectures with millimeter-wave wireless interconnects. S Deb , A Ganguly , K Chang , P Pande , B Beizer , D Heo . 21st IEEE International Conference on Application-specific Systems Architectures and Processors (ASAP), 2010. p. .
  209. Wireless noc as interconnection backbone for multicore chips: Promises and challenges. S Deb , A Ganguly , P P Pande , B Belzer , D Heo . IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2012. 2 p. .
  210. Design of an Energy Efficient CMOS Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects. S Deb , K Chang , X Yu , S P Sah , M Cosic , A Ganguly . IEEE Transactions on Computers 2013. 62 p. .
  211. Wireless network-on-chip: a new era in multi-core chip design. S Deb , H . 25th IEEE International Symposium on Rapid System Prototyping (RSP), 2014. p. .
  212. Ring versus bus: A BER comparison of photonic integrated networks-on-chip. S Faralli , F Gambini , P Pintus , I Cerutti , N Andriolli . 2015 IEEE Optical Interconnects Conference (OI), 2015. p. .
  213. Contention-free on-chip routing of optical packets. S Koohi , S Hessabi . 3rd ACM/IEEE International Symposium on Networks, 2009. p. .
  214. Scalable architecture for a contention-free optical network on-chip. S Koohi , S Hessabi . Journal of Parallel and Distributed Computing 2012. 72 p. .
  215. All-Optical Wavelength-Routed Architecture for a Power-Efficient Network on Chip. S Koohi , S Hessabi . IEEE Transactions on Computers 2012. 63 p. .
  216. Towards a scalable, low-power all-optical architecture for networks-on-chip. S Koohi , Y Yin , S Hessabi , S J B Yoo . ACM Transactions on Embedded Computing Systems 2014. 13 p. .
  217. A New Frontier in Ultralow Power Wireless Links: Network-on-Chip and Chipto-Chip Interconnects. S Laha , S Kaya , D W Matolak , W Rayess , D Ditomaso , A Kodi . IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2015. 34 p. .
  218. Layout guidelines for 3D architectures including Optical Ring Network-on-Chip (ORNoC). S Le Beux , J Trajkovic , I O'connor , G Nicolescu . IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011. VLSI-SoC. p. .
  219. Designing Reliable and Efficient Networks on Chips, S Murali . 2010. Springer.
  220. S Pasricha , N Dutt . On-chip Communication Architectures: System on Chip Interconnect, 2008. Morgan Kaufmann.
  221. Wireless network-on-chip: a survey. S Wang , T Jin . The Journal of Engineering 2014.
  222. Amon: An Advanced Mesh-like Optical NoC. S Werner , J Navaridas , M Lujan . IEEE 23 rd Annual Symposium on High-Performance Interconnects (HOTI), 2015. p. .
  223. A Survey of Research and Practices of Network-on-Chip. T Bjerregaard , S Mahadevan . ACM Computing Surveys 2006. 38 p. .
  224. T Kogel , R Leupers , H Meyr . Integrated System-Level Modeling of Network-on-Chip enabled,
  225. 1-Gb/s integrated optical detectors and receivers in commercial CMOS technologies. T K Woodward , A V Krishnamoorthy . IEEE Journal of Selected Topics in Quantum Electronics 1999. 5 p. .
  226. A Generic Optical Router Design for Photonic Network-on-Chips. T Xianfang , Y Mei , Z Lei , J Yingtao , Y Jianyi . Journal of Lightwave Technology 2012. 30 p. .
  227. Thermal Management of Manycore Systems with Silicon-Photonic Networks. T Zhang , J L Abellan , A Joshi , A K Coskun . Design, Automation & Test in Europe Conference & Exhibition (DATE), 2014.
  228. Key Research Problems in NoC Design: A Holistic Perspective. U Y Ogras , J Hu , R Marculescu . IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, 2005. p. .
  229. Communicationbased design for nanoscale SoCs. U Y Ogras , R Marculescu . The VLSI Handbook, Second Edition, W.-K Chen, Ed (ed.) 2006. CRC Press.
  230. Analysis and Optimization of Network-on-Chip Communication Architectures, U Y Ogras , R Marculescu , Modeling . 2013. Springer.
  231. Alloptical switching on a silicon chip. V R Almeida , C A Barrios , P R Panepucci , M Lipson , M A Foster , D G Ouzounov . Opt. Lett 2004. 29 p. .
  232. CMOS photonic processormemory networks. V Stojanovic , A Joshi , C Batten , K Yong-Jin , S Beamer , C Sun . IEEE Photonics Society Winter Topicals Meeting Series (WTM), 2010. p. .
  233. Design-space exploration for CMOS photonic processor networks. V Stojanovic , A Joshi , C Batten , Y J Kwon , S Beamer , S Chen . Conference on Optical Fiber Communication, collocated National Fiber Optic Engineers Conference (OFC/NFOEC), 2010. p. .
  234. The VLSI Handbook, W.-K Chen . 2006. CRC Press. (Second Edition)
  235. DCM: An IP for the Autonomous Control of Optical and Electrical Reconfigurable NoCs. W Büter , C Osewold , D Gregorek , A García-Ortiz . Design, Automation & Test in Europe Conference & Exhibition (DATE), 2014.
  236. A Wireless Network-on-Chip Design for Multicore Platforms. W Chifeng , H Wen-Hsiang , N Bagherzadeh . 19th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, 2011. p. .
  237. Energy-efficient Hybrid Optical-Electronic Network-on-Chip for Future Many-core Processors. W Fu , T Chen , L Liu . Elektronika ir Elektrotechnika 2014. 20 p. .
  238. Route Packets, Not Wires: On-Chip Interconnection Networks. W J Dally , B Towles . 38th Design Automation Conference (DAC), 2001. p. .
  239. Principles and Practices of Interconnection Networks, W J Dally , B P Towles . 2003. Morgan Kaufmann.
  240. Load adaptive multichannel distribution and arbitration in unequal RF interconnected WiNoC. W Ruizhe , D Zhao . IEEE International Symposium on Circuits and Systems (ISCAS), 2014. p. .
  241. A Sophisticated Routing Algorithm in 3D NoC with Fixed TSVs for Low Energy and Latency. X Jiang , L Zeng , T Watanabe . IPSJ Transactions on System LSI Design Methodology 2014. 7 p. .
  242. A Hybrid Optoelectronic Networks-on-Chip Architecture. X Tan , M Yang , L Zhang , X Wang , Y Jiang . Journal of Lightwave Technology 2014. 32 p. .
  243. SUOR: Sectioned Undirectional Optical Ring for Chip Multiprocessor. X Wu , J Xu , Y Ye , Z Wang , M Nikdast , X Wang ; W. Xiaolu , G Huaxi , Y Yintang , W Kun , H Qinfen . ACM Journal on Emerging Technologies in Computing Systems 2014. 2015. 10 p. . (IEEE Photonics Technology Letters)
  244. 12 GHz wireless clock delivery using on-chip antennas: A case for future intra/inter-chip wireless interconnect. Y Ding , C Lu , X He , H.-Z Tan . IEEE International Conference on Computer Science and Automation Engineering, 2012. p. .
  245. Development of 60-GHz Wireless Interconnects for Interchip Data Transmission. Y Ho-Hsin , K L Melde . IEEE Transactions on Components, Packaging and Manufacturing Technology 2013. 3 p. .
  246. Wave Propagation Mechanisms for Intra-Chip Communications. Y Liping , G W Hanson . IEEE Transactions on Antennas and Propagation 2009. 57 p. .
  247. Firefly: Illuminating Future Network-on-Chip with Nanophotonics. Y Pan , P Kumar , J Kim , Y Memik , A Zhang , Choudharym . Proceedings of the 36th Annual International Symposium on Computer Architecture, (the 36th Annual International Symposium on Computer Architecture) 2009. p. .
  248. FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar. Y Pan , J Kim , G Memik . IEEE 16th International Symposium on High Performance Computer Architecture (HPCA), 2010. p. .
  249. 3D NoC with Inductive-Coupling Links for Building-Block SiPs. Y Take , H Matsutani , D Sasaki , M Koibuchi , T Kuroda , H Amano . IEEE Transactions on Computers 2012. 63 p. .
  250. Technology Assessment of Silicon Interposers for Manycore SoCs: Active, Passive, or Optical?. Y Thonnart , M Zid . 8th IEEE/ACM International Symposium on Networks-on-Chip, 2014. p. .
  251. State-of-the-Art Graphene High-Frequency Electronics. Y Wu , K A Jenkins , A Valdes-Garcia , D B Farmer , Y Zhu , A A Bol . Nano Letters 2012/06/13 2012. 12 p. .
  252. A 12-mW 40-60-GHz 0.18-µm BiCMOS Oscillator-Less Self-Demodulator for Short-Range Software-Defined Transceivers. Y Xiao Peng , L Hao , H Yu , L Wei Meng , D Er Tai , Y Kiat Seng . IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2013. 3 p. .
  253. A wideband body-enabled millimeter-wave transceiver for wireless Network-on-Chip. Y Xinmin , S P Sah , S Deb , P P Pande , B Belzer , H Deukhyoun . IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), 2011. p. .
  254. A 1.2-pJ/bit 16-Gb/s 60-GHz OOK Transmitter in 65-nm CMOS for Wireless Network-On-Chip. Y Xinmin , S P Sah , H Rashtian , S Mirabbasi , P P Pande , H Deukhyoun . IEEE Transactions on Microwave Theory and Techniques 2014. 62 p. .
  255. An 18.7-Gb/s 60-GHz OOK Demodulator in 65-nm CMOS for Wireless Networkon-Chip. Y Xinmin , H Rashtian , S Mirabbasi , P P Pande , H Deukhyoun . IEEE Transactions on 2015. 62 p. . (Circuits and Systems I: Regular Papers)
  256. 3D optical networks-on-chip (NoC) for multiprocessor systems-on-chip (MPSoC). Y Ye , L Duan , J Xu , J Ouyang , M K Hung , Y Xie . IEEE International Conference on 3D System Integration, 2009. p. .
  257. A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip. Y Ye , J Xu , X Wu , W Zhang , W Liu , M Nikdast . ACM Journal on Emerging Technologies in Computing Systems 2012. 8 p. .
  258. System-Level Modeling and Analysis of Thermal Effects in WDM-Based Optical Networks-on-Chip. Y Ye , Z Wang , P Yang , J Xu , X Wu , X Wang . IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2014. 33 p. .
  259. Compact Thermo-Optic Switch Based on Tapered W1 Photonic Crystal Waveguide. Z Qiang , C Kaiyu , H Zhilei , F Xue , Z Dengke , L Fang . IEEE Photonics Journal 2013. 5 p. .
  260. , É Cota , A De Morais Amory , M Soares Lubaszewski . Reliability, Availability and Serviceability of Networks-on 2012. Springer.
Notes
1
© 2017 Global Journals Inc. (US)
26.
Year 2017 F An Extansive Review of Emerging Technology Networks-on-Chip Proposals
Date: 2017-01-15